文档库 最新最全的文档下载
当前位置:文档库 › 简易频谱分析仪

简易频谱分析仪

简易频谱分析仪
简易频谱分析仪

简易频谱分析仪

作者:尹三正翟勇蔡浩

赛前辅导及文稿整理辅导教师:尹仕

摘要:

本设计利用外差原理,以单片机为核心,辅助以FPGA等实现半数字化的频谱分析。系统由4个模块组成:混频模块,信号采集模块,频谱图显示模块,输入波形识别模块。混频模块将输入信号与本振信号进行混频得到中频信号;信号采集模块对中频信号进行检波和AD采样,将采样数据存入单片机;采样数据经单片机处理后送给FPGA,由FPGA利用示波器的XY通道完成频谱图显示;单片机通过对采集到的数据进行分析来判定波形,同时采用等精度测量法测量输入信号中心频率。通过采用一定算法对输入信号进行处理,消除低中频带来的镜像干扰。

关键词:频谱,扫频,混频,中心频率

Abstract:

Key Words:spectrum, frequency scan, frequency mixture,

the center frequency

一、方案设计和论证

本题目要求采用外差原理实现频谱分析仪,“外差”是变频的意思,因此将输入信号加到混频器上与本振信号混频后,再经过窄带中频滤波器将落入中频带的信号提取出来。通过AD转换器对检波后的中频信号进行采样并存入单片机,单片机对数据进行处理后再通过 FPGA将频谱图显示在示波器上。输入信号整形后可通过FPGA利用等精度法进行测频,由于调频,调相和等幅波的频谱图不一样,通过识别输入信号的频谱特征就可判断是何种波形。整个系统框图如图1.1所示。

图1.1:系统框图

1.混频模块:

方案一:选用MC3362搭建混频电路。MC3362是MOTOROLA公司生产的单片

窄带调频接收电路,电路如图1.2所示:

图1.2:MC3362典型电路

载频信号从MC3363的2脚输入,进行第一级混频后将差频为10.7MHz的第

一中频信号从23脚输出,经中频为10.7M的陶瓷滤波器选频后再由21脚送到内

部的第二混频级,将差频为0.455MHz的第二中频信号从7脚输出,经455kHz陶

瓷滤波器选频,再经9脚送入MC3363的限幅放大器进行高增益放大。

方案二:用乘法器和带通窄带滤波器搭建混频器:选用AD835作为乘法器,

将本振信号和输入信号相乘得到二者频率的和差信号,达到混频的效果,与较常

,对噪声可形成较强用的乘法器MC1596相比,其两路输入信号幅值可达到1V

的抑制能力,而MC1596两个输入端允许的最大信号幅值分别为15mV和100mV,

信噪比较低。

Q为几百,比LC滤波带通窄带滤波器选用陶瓷滤波器,它的等效品质因数L

器要高,对通带外的信号能形成很强的衰减。

论证:方案一只需一块集成芯片即可实现混频和中频输出,但与方案二相比

其外围电路过于复杂,而且其混频输出信号没有AD835干净,对输出噪声的抑制

能力也较差。因此选择方案二来完成混频输出。

2.本机振荡器:

输入信号频率范围为1MHz~32MHz,故要求本机振荡器的振荡频率要大于该

值一个中频。

方案一:采用LC 正弦波振荡器与变容二极管产生本振频率,通过改变变容二极管两端电压,使振荡电路输出频率发生改变。

方案二:采用FPGA 实现。将正弦波信号的一个周期的离散样点的幅度数值量存于RAM 中,以一定的地址间隔读出,经DA 转换器转换输出,再经低通滤波滤除D/A 带来的高次谐波,即可获得所需要的波形。

方案三:采用专用DDS 集成芯片来产生正弦波。

论证:方案一为传统的振荡器电路形式,组成电路繁琐而且不易实现频率线性步进,而且要实现29M 的频率变化范围难以实现;方案二采用FPGA 产生正弦波,通过改变地址步进间隔即可实现不同频率输出,但要以较小失真度产生30M 正弦信号,比较困难。而采用专用DDS 集成电路只需少量外围元件就能构成一个完整的信号源,而且控制方便,因此我们选择方案三。

二、理论分析和参数计算

1. 混频模块 (1)中频的选择:

混频器的输出信号中除了需要的差额信号外,还存在一些谐波频率和组合频率,如果这些组合频率接近中频并落在中频放大器的通频带内,则会形成干扰。

设本振信号频率为LO f ,输入信号频率为s f ,中频为i f ,组合频率为k f ,当

k LO s f pf qf =±±i f ≈

时会形成干扰。取i LO s f f f =-,去除不可能存在的情况,得到:

1s i f p f q p ±=

-

用不同的p ,q 值带入上式算出相应的s i f f 值,得表如下:

表2.1:组合频率选取参考表

结合扩展部分,本题要测量的波段范围为1~30MHz ,我们选中频i f =

458.5kHz ,则在整个波段内,s i f f =2.15~65,满足这一范围的组合频率干扰点很少(仅编号4,7,11,15四个点)。

(2)前置放大器:

由于输出信号电压有效值为20mV ±5mV,为降低噪声在输入端加一个前置放大器,使频谱分析仪系统的噪声系数降低。同时为使系统输入阻抗与信号源输出阻抗匹配,我们在运放的同相输入端接一个50Ω电阻到地,由于运放同相输入端阻抗很大,这样输入信号阻抗几乎为50Ω,达到阻抗匹配。由于后级乘法器选用AD835,在满足一定精度下要求输入信号1V ≤±,因而增益

128.57

25*1.4v V

A mV ≤

=,取前置放大器增益v A =24。

由于输入信号最高频率达到30M ,则相应运放的增益带宽积应满足:

3025750ain G MHz MHz ≥?=

压摆率也应满足:

om 2V =377V/s SR f s πμ≥??

同时输入失调电压应尽量的小。根据这些指标要求,选择MAX4117 作为前置放大器。MAX4117为电流型反馈运算放大器,大信号时增益带宽积为280MHz ,压摆率为1200V/s μ,输入失调电压为1mV ,内含两个独立运放,采用两级级联,分配第一级分配增益为6,第二级增益为8,则相应增益带宽积要求为:

308240ain G MHz MHz ≥?=

能达到要求,并能对35MHz 以上信号形成衰减,由图2.1知,第二级输入信号为第一级输出信号的一半,故总增益为:v A =6×8÷2=24满足设计要求。电路图如图2.1所示。

图2.1 前端放大电路

(2)乘法器:

模拟乘法器将两端输入信号相乘,得到两个信号频率的和差频率,从而达到混频的效果。模拟乘法器选用AD835。AD835是一款高带宽(250MHz ),四信号输

入乘法器,其输出噪声只有5030MHz 时,其输出噪声为

0.274mV 。其输入输出之间关系如图2.2所示。实际电路如图2.3所示:在4脚与5脚和 5脚与地之间接上电阻R 5和R 6,则混频后输出:

6o

s

i i 56R V V V =

V +R +R U ?

化简得到:

o s

i V V V =11U ??

图2.2 示意图 图2.3 实际电路图

(3)本机振荡器:

输入信号s f 的频率范围为1MHz ~30MHz ,中频i f 为458.5KHz ,则本振频率LO i s f f f =+的频率范围为:1.4585MHz ~31.4585MHz 。由于振荡频率高达31.4585MHz ,因此选用专用DDS 芯片来产生本振频率。 AD9851是一款方便易用的高速DDS 芯片,其内部主要包括一个可选用的六倍频器,高速DDS 模块和高速十位A/D 转换模块,可以用较低的外部时钟和简单的外围元件实现较宽频带内的频率精度较高正弦波合成或者各种调制。这里用它合成正弦波,原理图如下:

图2.4 AD9851原理图

AD9851最高工作时钟可以达到180MHz ,这里外部接30MHz 晶振,经过内部的六倍频电路倍频到180MHz 作为系统工作时钟。合成正弦波频率waveout f 可以通过下式得到:

32*/2waveout f SYSCLK PHASE Hz =

其中SYSCLK 是系统工作时钟,这里SYSCLK =30M * 6 = 180MHz 。PHASE 是通过串口数据线DATA _9851 和控制总线CONTROL_BUS_9851(3bit) 预置的32bit 的频率控制字。通过上式可以简单的得到此时理论上可以得到的合成正弦波频率精度是:

3232/2180/20.042waveout f SYSCLK M Hz ==≈

合成正弦波的幅度由下式决定:

11*39.3/*waveout set V IOUT R R R ==

其中,IOUT 为PIN21输出的电流大小,由上式可以看出,它由PIN12外接的电阻set R 决定。R1为PIN21外接的电阻,将电流转换为电压输出。这里set R = 3.9k Ω,R1 = 100Ω,则正弦波幅度约为1V 。

(4)中频窄带滤波器:

混频器输出中包含有乘法器的两个输入端信号的和差分量,需要通过中频窄带滤波器选出需要的频谱分量,抑制掉其它不需要的信号。题目要求频率分辨率为10kHz ,即中频滤波器的带宽要小于10KHz 。

滤波器选用陶瓷滤波器HLB465B ,通过信号源给陶瓷滤波器输入端不同频率的信号,实测其中心频率为458.5KHz ,3dB 带宽为1.0KHz (458.2KHz ~459.2KHz ),20dB 带宽为9KHz (454.5KHz ~463.5KHz ),频谱响应特性如图2.5所示。陶瓷滤波器的带宽较窄,因而本振频率的步进值不能太大,否则可能会漏扫某些点,在程序设计中我们直接选取其3dB 带宽作为步进频率,这样可以保证扫到每个点。

图2.6 陶瓷滤波器频谱响应曲线

2. 检波模块:

前级电路中本振频率为LO f 时,与输入信号s f 混频后,将信号频率为i f =485.5KHz 左右的信号送入本级,其峰值大致反映了信号在频率LO s f f 处的幅值,通过检波电路将其峰值检测出来。检波器由二极管和RC 电路组成,电路图如图2.6所示:当二极管D 1正端电压高于负端电压一定值时,二极管导通,对电容C 3充电;当正端电压降低时,二极管截止,此时电阻R 3构成放电通道,电容放电。选取适当的C 3,R 3即可构成需要的检波电路。

图2.6 检波和采样电路

本振信号频率步进时间间隔为2ms ,AD 转换器的位数为8位,由于信号幅值在不断变化,在一个频率步进时间间隔内,输入信号幅值从最大(数字电平值为255)衰减到最小(数字电平值小于1),滤波器应有充分的放电时间将电压衰减到足够小,故在2ms 时间内信号衰减幅度应小于1/255,由

t

RC

t

U e

U -=

可得:

1

(ln

)211.08255RC ms ms <-?=。

输入信号频率为485KHz 左右,要使检波器随包络波变化而不是随被检波信号波变化,则其RC 电路的时间常数不能太小,应远大于信号周期

14852T kHz s μ=≈

据此选择时间常数RC =66s μ,取R 3=20k Ω,C 3=3300F μ,满足要求。

由于二极管存在导通电压,当信号峰值小于二极管的导通电压时,二极管截止不导通,从而无法进行检测,为此在输入端加入一个直流偏置与输入信号进行叠加,调节滑动变阻器R 1使得直流偏置电压恰好抵消二极管的正向导通电压,同时接入二极管D 2到地,防止反向电压过大损坏二极管D 1。检波出来的电压通过一级射级跟随后被TLC5510采样,将得到的AD 采样数值存入单片机。

3. 数据处理和频谱图显示模块:

(1)系统噪声处理

频谱分析仪的电路中的引入的各种外界噪声和电路内部串扰噪声被逐级放大,最后以噪声形式显示在示波器上,使得频谱分析仪的灵敏度降低。因此如果将输入端不加信号,测量此时输出的噪声电平,则比这个电平低的信号都会被噪声掩盖而无法测量,我们将此时采集到的数字电平作为门限电平,低于这个电平的均被做零处理,这样可以尽量减少噪声对输出信号的影响。

(2)信号识别:

控制本振信号的频率对输入信号进行扫频时,设输入信号在s f 处存在较大频谱分量,则在本振频率为LO s i f f f =-和LO s i f f f =+均能检测到响应,由于程序默认设置i LO s f f f =-,因而信号应在本振为LO s i f f f =+处产生响应并看作被识别到,而在LO s i f f f =-处被忽略,因此在检测到响应后,将信号本振频率减2i f ,观察是否还有响应,如果输出无响应则可判断对应为LO s i f f f =-的情况,应被忽略;如果输出有响应,则可判断为LO s i f f f =+的情况,此时信号应被识别。但还存在另一种情况,即输出有响应,但该响应应是由于信号在2s i f f -处也存在较大频谱分量造成,而在s f 处无频谱分量,此时信号仍应被忽略。故进行判断时应考虑到输入信号中的镜像频率。

(3)镜像干扰的消除:

当本振频率为LO f 时,输入信号1s LO i f f f =+或2s LO i f f f =-,均能在混频器输出端产生响应(即输出信号具有一定幅值),称1s f 和2s f 为镜像频率,他们引起的干扰成为镜像干扰。由于中频采用的是低中频,因此不可避免的会产生镜像干扰,我们通过程序对采集到的数据进行处理来消除镜像干扰。原理如图2.7所示:

图2.7 镜像干扰消除的原理图

设输入信号存在3个频率分量,0s f ,1s f ,2s f ,其中0s f 和1s f ,1s f 和2s f 互为镜像频率,对应的频谱幅值分别量化为电压0V ,1V ,2V 。改变本地振荡器的输出频率,如图示在1LO f ~4LO f 处均会产生响应,在本地振荡频率2LO f 处,0s f ,1s f 均会在混频器输出端产生一定的响应并叠加起来,这样此时单片机采集到的数据应与信号在0s f ,1s f 处的频谱的幅值和成正比,同样,在本地振荡频率2LO f 处,单片机采集到的数据与信号在1s f ,2s f 处的频谱的幅值和成正比。 设定s LO i f f f =-,则得到的

0s f ,1s f ,2s f 的量化电压值分别为01V V +,12V V +,2V ,而且还得到一个不存在的幅频响应'0s s i f f f =-,其幅值为0V 。对信号进行如上图示处理,从高频段第一个不为零的信号开始,与其镜像频率做减法运算,并将差值作为真实值存储下来。经过一轮运算后,就能消除镜像干扰,将真实的频谱图显示出来,同时对输入信号完成识别。

(4)频谱图显示:

由于示波器水平分辨率为200格,因此实际测量时只需256个点的数值。设扫频频宽为w f ,扫频中心频率为c f ,则本振频率扫频范围为:

(2c w i f f f -+)~(2c w i f f f ++)。

显示时每两点之间的频率间隔为:256w f ,前面分析可知,为避免某些频率的信号被扫漏,本振信号频率步进值为1kHz ,因此将扫频范围等分为256个区间,将对该区间扫频时采集到的信号的最大幅值进行存储。存满256个点后,通过串口将数据转存到FPGA 的内部RAM ,再由FPGA 产生输出数据通过DA 转换器产生一路锯齿波和一路信号波形,以XY 方式在示波器上显示需要的信号频谱图。要使输出信号看起来稳定并不闪烁的显示在示波器上,要求显示数据刷新频率大于50Hz ,每写一次屏大约要写600个点,故DA 转换器的转换频率应大于:

600×50Hz=30kHz ,选用8位的DA 转换器CA3338,其转换速率最高可达50MHz ,能满足要求,实际FPGA 输出锯齿波的频率为500kHz 。

4. 信号处理和显示模块: (1)波形种类判断:

等幅波,调频,调幅的频谱图大致如图2.7所示,单片机对采得的信号数据进行如下判断:

等幅波频谱调幅波频谱

调频波频谱 图

2.7 等幅波,调频,调幅频谱图

1如果频谱集中在一点:判断为等幅波。

2如果频谱在多点处存在,中心频率附近频谱(信号采集时本振频率步进值为1K )大量集中,判断是调频波。

3如果频谱在多点处存在,中心频率附近频谱(信号采集时本振频率步进值为1K )比较离散,即出现响应的频率点之间间隔较大,可判断是调幅波。

(2)信号中心频率测定:

题目发挥部分要求能测定调幅、调频和等幅波信号的中心频率,且其中心频率范围为1MHz ~30MHz 。实际上要精确测定调频波的中心频率比较困难,可以在保证一定精度情况下,采用等精度测量法进行测量。

我们选用Alter 公司型号为EP1C3T144C8的FPGA 为核心,辅助凌阳的61单片机来实现频率测量。原理图如图2.8所示。

图2.8 频率测量原理图

预制门控信号F_Gate 为脉宽为T pr 的脉冲,计数器BZQ ,TSQ 均是可控计数器,标准频率信号FPGA_CLK (32f MHz =)从计数器BZQ 的时钟输入端输入,整形后的信号从计数器TSQ 的输入端进入,CLR 端为高电平时各个计数器清零。当预制门控信号F_Gate 为高电平时,经整形后的被测信号的上升沿使D 触发器输出为高电平,同时启动计数器BZQ ,TSQ 开始计数;当预制门控信号F_Gate 为低电平时,被测信号的上升沿使D 触发器的输出变为低电平,两个计数器同时停止计数。设一次预制门时间T pr 内被测信号计数值为N x ,计数器BZQ 中标准频率信号计数值为i N ,标准信号频率为F i ,测得输入信号频率为F x ,则得到下面的公式:

x

i

x

F F N Ni =,

推导得到:

i x

x F N F Ni ?=

故相对误差公式为:

2

()i

i

i F N F ??=±+

从公式可以看出其测量精度只与N s 和标准频率精度有关,而与被测信号无关,取门限时间

120gate T ms =。

由于外部信号的时钟作为计数器TSQ 的计数频率,TSQ 的位数为M=24位,在输入频率较高时预制门控信号时间长度就是计数器计数时间,要确保在计数器计数时间内不溢出,则输入信号频率应小于:

2

139M

high gate

f MHz

T ==

在门限时间120gate T ms =内计数值i N 可达到3.84×106,由前面误差公式可知,当测量频率为单一频率时,测量误差小于:

1.92×10-6+1×10-5=1.1×10-5

5.电源模块:

我们使用自制稳压电源为系统供电,电路图如图2.9所示。

图2.9 稳压电源

三、单片机流程图

单片机主要用来完成产生以下功能:向本振信号发生器(AD9851)送控制字,对采集信号进行去镜像干扰处理,和FPGA配合完成频率测量和频谱图显示,波形识别,人机友好界面设置(包括液晶显示和遥控键盘)。

1.单片机流程图如图3.1所示:

图3.1 单片机流程图

2.红外接收和解码模块:

我们采用专用红外解码芯片将遥控器发出的信号解调出来送入单片机,由单片机进行解码。

由解调芯片解调出来的信号是16位串行数据,其中含有6位键码数据,还有一些控制信息,由于信号直接接到I/O口上,其信号幅值会下降很多,所以需要将信号经过两级非门进行缓冲。接收完十六位数据后,判断前十位数据是否为引导码,如果不是则认为是干扰码;如果是,则根据相应码值进

行相应操作。为了观察是否有信号收到,我们充分利用凌阳单片机内建Flash 容量大的特点,加入语音播报提示是否有相应键按下。

四、系统测试和结果分析

1. 测试仪器:

(1)信号源:YB1620P ,QF1055A (2)数字示波器:Tektronix TDS1002 (3)QF4143型 调制度测量仪

2. 测试条件:

(1)时间:2005年9月10日 (2)温度:26。C

3. 测试方法和结果: (1)波形频率测量范围:

使用QF1055A 产生单一频率的信号s f ,观察示波器上的频谱曲线,记录响应频率r f 和测得的中心频率m f :

表4.1 频率范围测定

(2)频率分辨力测量:

产生调制度80%a m =,频偏为m f ?的调幅波,改变频偏,观察显示频谱能否区分被调制信号m f 和载波o f 。设置扫频宽度256K ,扫频中心频率为载波频率o f 。

表4.1 频率范围测定

(3)波形识别和中频测量: 1产生等幅波,调节波形频率

2产生调幅波,调制度30%a m =,调制信号频率为20KHz 的调幅波,改变载频中心频频率

3产生调频波,频偏20m f KHz ?=,调制信号频率为1KHz ,改变载频中心频频率

(4)其他功能:

1中心频率和扫频宽度可设置

2能在示波器上标出间隔为1MHz 的频标 3采用液晶屏显示和红外键盘控制,界面友好 3具有语音播报功能,播报液晶屏上各项指标

五、分析和总结

经过测试,各项指标均达到题目要求。由于选用i f =458.5KHz 作为混频后的中频,只需一次变频即可到达所需中频,大大简化了硬件电路规模,使得制作和调试都比较容易。针对低中频时会产生的镜像干扰,我们设计了相应的算法来消除中频镜像干扰;为提高频率分辨率,我们选择带宽较窄的陶瓷滤波器进行中频滤波,但由于频带较窄,为避免漏扫某些频率,扫频步进间隔均为1KHz

或更小,这样必然会延长扫描时间,如要减少扫描时间,可选用频带较宽的陶瓷滤波器。由于陶瓷滤波器通频带较窄,在中心频率周围1KHz频带内响应也不够平坦,故采集到的信号并不能精确反映信号的在该频率处的能量大小,这也是造成调幅信号两个边频分量大小不等的主要原因。

考虑到本设计的误差主要来源于电磁信号对兆级小信号的干扰,我们采用以下措施来减小电路噪声:兆级高频小信号使用屏蔽线进行传输,屏蔽各种电磁干扰;电源部分数字地和模拟地分开连接,并采用电感进行隔离,减小数字电路对模拟信号的影响。

参考文献:

[1] 谢自美.电子线路设计·实验·测试(第二版).武汉:华中理工出版社,2000.

[2] 全国电赛组委会.全国大学生电子设计竞赛获奖作品精选.北京:北京理工大学出版社,2003.

[3] 薛均义,张彦斌,虞鹤松等.凌阳十六位单片机原理及其应用.北京:北京航空航天大学出版社,2003.

频谱分析仪的设计方案及实际应用案例汇总

频谱分析仪的设计方案及实际应用案例汇总 频谱分析仪是研究电信号频谱结构的仪器,用于信号失真度、调制度、谱纯度、频率稳定度和交调失真等信号参数的测量,可用以测量放大器和滤波器等电路系统的某些参数,是一种多用途的电子测量仪器。现代频谱分析仪能以模拟方式或数字方式显示分析结果,能分析1 赫以下的甚低频到亚毫米波段的全部无线电频段的电信号。仪器内部若采用数字电路和微处理器,具有存储和运算功能;配置标准接口,就容易构成自动测试系统。 基于MSP430 的FM 音频频谱分析仪的设计方案 本文中主要提出了以MSP43 处理器为核心的音频频谱分析仪的设计方案。以数字信号处理的相关理论知识为指导,利用MSP430 处理器的优势来进行音频频谱的设计与改进,并最终实现了在TFT 液晶HD66772 上面显示。 基于NIOS II 的频谱分析仪的设计与研制 本设计完全利用FPGA 实现FFT,在FPGA 上实现整个系统构建。其中CPU 选用Altera 公司的Nios II 软核处理器进行开发, 硬件平台关键模块使用Altera 公司的EDA 软件QuartusIIV8.0 完成设计。整个系统利用Nios II 软核处理器通过Avalon 总线进行系统的控制。 基于频谱分析仪二代身份证读卡器测量 本文所介绍使用频谱仪检测RFID 读卡器的应用实例也是一种通用检测 方案,可广泛应用在RFID 读卡器和主动式电子标签研发过程中的调试、产线 的检验等多个方面。 基于频谱分析仪分析手机无线测试 本文将对手机无线通信中遇到的问题提出相应的解决方案。手机在进行通信时存在着频段控制、通信质量检测和信号大小控制等问题。被射频工程师

基于LABVIEW的虚拟频谱分析仪设计

目录 1 设计任务 (1) 1.1 技术要求 (1) 1.2 设计方案 (1) 2 基本原理 (1) 3 建立模型 (2) 3.1 系统前面板设计 (3) 3.2 系统程序框图设计 (3) 3.3 系统程序运行结果 (4) 4 结论与心得体会 (9) 4.1 实验结论 (9) 4.2 心得体会 (10) 5 参考文献 (10)

基于LABVIEW的虚拟频谱分析仪设计1设计任务 1.1 技术要求 1)设计出规定的虚拟频谱分析仪,可对输入信号进行频域分析,显示输入信号的幅度谱和相位谱等 2)设置出各个控件的参数; 3)利用LabVIEW实现该虚拟频谱分析仪的设计; 4)观察仿真结果并进行分析; 5)对该虚拟频谱分析仪进行性能评价。 1.2 设计方案 虚拟频谱分析仪的设计包括以下三个步骤: 1) 按照实际任务的要求,确定频谱分析仪的性能指标。 2) 按照实验原理想好设计思路,并且完成电路图及程序,然后在前面板和程序流程图中实现。 3) 完成电路设计,运行程序并且检查,直至无误后观察仿真结果并且分心。 2基本原理 本设计采用的是数字处理式频谱分析原理,方法为:经过采样,使连续时间信号变为离散时间信号,然后利用LabVIEW的强大的数字信号处理的功能,对采样得到的数据进行滤波、加窗、FFT 运算处理,就可得到信号的幅度谱、相位谱以及功率谱。FFT的输出都是双边的,它同时显示了正负频率的信息。通过只使用一半FFT输出采样点转换成单边FFT。FFT的采样点之间的频率间隔是fs/N,这里fs是采样频率。FFT和能量频谱可以用于测量静止或者动态信号的频率信息。FFT提供了信号在整个采样期间的平均频率信息。因此,FFT主要用于固定信号的分析(即信号在采样期间的频率变化不大)或者只需要求取每个频率分量的平均能量。 在采样过程中,为了满足采样定理,对不同的频率信号,选用合适的采样速率,从而防止频率混叠。实际中,我们只能对有限长的信号进行分析与处理,而进行傅立叶变换的数据理论上应为无限长的离散数据序列,所以必须对无限长离散序列截断,只取采样时间

基于stm32f1的频谱分析仪

单片机课程设计 基于STM32F1 的频谱分析仪 班级:电子信息工程1111班(学号): 指导老师:

题目:基于STM32F1 的频谱分析仪 关键词:频谱分析仪,STM32F1,快速傅立叶变换,FFT,双色点阵 摘要 本设计是基于STM32F1的频谱分析仪。以STM32F103RBT6为控制核心,双色点阵屏为显示器。硬件上由电源管理,通信模块,放大电路,以及单片机最小系统组成。算法上采用简洁稳定的快速傅立叶变换作为主要的核心算法,辅以自动增益控制,实现信号从时域到频域的变换。通过双色点阵屏显示,具有直观,清晰等特点。 1.引言 目前,由于频谱分析仪价格昂贵,学校里只有少数实验室配有频谱仪。 但是电子信息类教学,如果没有频谱仪辅助观察,同学们只能从书本中抽象理解信号的特征,严重影响教学实验效果。 正对这种现状,提出了一种基于STM32F1的简易频谱分析仪的设计方案,其优点是成本低,能够直观的反映信号在频域的特征。 2.系统方案 本设计采用STM32F1作为核心处理器,该处理器核架构ARM Cortex-M3,具有高性能、低成本、低功耗等特点。

主控板包括电源模块、红外通信模块、TDA2822放大模块等;信号经过放大电路放大之后,由芯片自带的ADC将模拟信号转换为数字信号,再由主控芯片对数字信号进行快速傅立叶变换,驱动双色点阵屏显示。 软件算法的核心容就是快速傅立叶变换。如下图为本设计总体框图。 ↓ ↓ ↓ ↓ ↓

↓ ↓ ↓ 3.系统硬件设计 针对前面提出的整体设计方案,本设计采取模块化策略,将各个功能部分开来设计,最后组合起来。 3.1 电源管理模块 系统的核心芯片为STM32F103,常用工作电压为3.3V,同时部的ADC 工作的参考电压也是3.3V,一般的外部电源的电压都为5V,要使系统正常工作,需要将5V的电源电压稳压到3.3V。常用的78系列稳压芯片已不再适用,必须选择性能更好的稳压芯片。 经综合考虑,本电路采用LM1117-3.3作为电源部分的核心芯片。外部电源5V输入LM1117-3.3稳压为3.3V。由于点阵屏显示部分的电流较大,但是不在我们主控板上,所以暂不做考虑。电路图如下。

频谱分析仪使用指南

Spectrum Analyzer Basics 频谱分析仪是通用的多功能测量仪器。例如:频谱分析仪可以对普通发射机进行多项测量,如频率、功率、失真、增益和噪声特性。 功能范围(Functional Areas ) 频谱分析仪的前面板控制分成几组,包含下列功能:频率扫描宽度和幅度(FREQUENCY,SPAN&LITUDE)键以及与此有关的软件菜单可设置频谱仪的三个基本功能。 仪器状态(INSTRUMENT STATE ):功能通常影响整个频谱仪的状态,而不仅是一个功能。 标记(MARKER)功能:根据频谱仪的显示迹线读出频率和幅度 提供信号分析的能力。 控制(CONTRIL)功能:允许调节频谱分析的带宽,扫描时间和 显示。 数字(DATA)键:允许变更激活功能的数值。 窗口(WINDOWS)键:打开窗口显示模式,允许窗口转换,控 制区域扫宽和区域位置。 基本功能(Fundamental Function) 频谱分析仪上有三种基本功能。通过设置中心频率,频率扫宽或者起始和终止频率,操作者可控制信号在频幕上的水平位置。信号的垂直位置由参考电平控制。一旦按下某个键,其

功能就变成了激活功能。与这些功能有关的量值可通过数据输入控制进行改变。 Sets the Center Frequency Adjusts the Span Peaks Signal Amplitude to 频率键(FREQUENCY) 按下频率( FREQUENCY)键,在频幕左侧显示CENTER 表示中心频率功能有效。中心频率(CENTERFREQ)软键标记发亮表示中心频率功能有效。激活功能框为荧屏上的长方形空间,其内部显示中心频率信息。出现在功能框中的数值可通过旋钮,步进键或数字/单位键改变。 频率扫宽键(SPAN) 按下频率扫宽 (SPAN)键, (SPAN)显示在活动功能框中,(SPAN)软键标记发亮,表明频率扫宽功能有效。频率扫宽的大小可通过旋钮,步进键或数字键/单位键改变。 幅度键(AMPLITUDE)按下 按下幅度键(AMPLITUDE)参考电平(REFLEVEL)0dbm显示在 激活功能框中,( REFLEVEL)软键标记发亮,表明参考电平功

简易频谱分析仪课程设计

东北石油大学课程设计 2014年7月18 日

东北石油大学课程设计任务书 课程通信电子线路课程设计 题目简易频谱分析仪 专业姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个测量频率范围覆盖为10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号的简易频谱分析仪。基本要求: (1)频率测量范围为10MHz--30MHz; (2)频率分辨力为10kHz,输入信号电压有效值为20mV±5mV,输入阻抗为50Ω; (3)可设置中心频率和扫频宽度; (4)借助示波器显示被测信号的频谱图,并在示波器上标出间隔为1MHz 的频标。 主要参考资料: [1]谢家奎.电子线路(非线性部分)[M].北京:高等教育出版社. [2] 张建华.数字电子技术[M].北京:机械工业出版社. [3] 陈汝全.电子技术常用器件应用手册[M].北京:机械工业出版社. 完成期限2014.7.14 — 2014.7.18 指导教师 专业负责人 2014年7 月14 日

摘要 系统利用SPCE061A单片机作为主控制器,采用外差原理设计并实现频谱分析仪:利用DDS芯片生成10KHz步进的本机振荡器,AD835做集成混频器,通过开关电容滤波器取出各个频点(相隔10KHz)的值,再配合放大,检波电路收集采样值,经凌阳单片机SPCE061A的处理,最后送示波器显示频谱。测量频率范围覆盖10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号。 关键词:SPCE061A;DDS;频谱分析仪

【目录】基于LABVIEW的虚拟频谱分析仪设计

【关键字】目录 目录 基于LABVIEW的虚拟频谱分析仪设计 1设计任务 1.1 技术要求 1)设计出规定的虚拟频谱分析仪,可对输入信号进行频域分析,显示输入信号的幅度谱和相位谱等 2)设置出各个控件的参数; 3)利用LabVIEW实现该虚拟频谱分析仪的设计; 4)观察仿真结果并进行分析; 5)对该虚拟频谱分析仪进行性能评价。 1.2 设计方案 虚拟频谱分析仪的设计包括以下三个步骤: 1) 按照实际任务的要求,确定频谱分析仪的性能指标。 2) 按照实验原理想好设计思路,并且完成电路图及程序,然后在前面板和程序流程图中实现。 3) 完成电路设计,运行程序并且检查,直至无误后观察仿真结果并且分心。

2基本原理 本设计采用的是数字处理式频谱分析原理,方法为:经过采样,使连续时间信号变为离散时间信号,然后利用LabVIEW的强大的数字信号处理的功能,对采样得到的数据进行滤波、加窗、FFT 运算处理,就可得到信号的幅度谱、相位谱以及功率谱。FFT的输出都是双边的,它同时显示了正负频率的信息。通过只使用一半FFT输出采样点转换成单边FFT。FFT的采样点之间的频率间隔是fs/N,这里fs是采样频率。FFT和能量频谱可以用于测量静止或者动态信号的频率信息。FFT提供了信号在整个采样期间的平均频率信息。因此,FFT主要用于固定信号的分析(即信号在采样期间的频率变化不大)或者只需要求取每个频率分量的平均能量。 在采样过程中,为了满足采样定理,对不同的频率信号,选用合适的采样速率,从而防止频率混叠。实际中,我们只能对有限长的信号进行分析与处理,而进行傅立叶变换的数据理论上应为无限长的离散数据序列,所以必须对无限长离散序列截断,只取采样时间内有限数据。这样就导致频谱泄漏的存在。所以利用用加窗的方法来减少频谱泄漏。由于取样信号中混叠有噪声信号,为了消除干扰,在进行FFT 变换之前,要先进行滤波处理。本设计采用了巴特沃斯(Butterworth)、切比雪夫(Chebyshev)、椭圆(Ellipse)、贝塞尔(Bessel)等滤波器。 以下说明时域分析与频域分析的功能 1)信号的时域分析主要是测量尝试信号经滤波处理后的特征值,这些特征值以一个数值的方式来表示信号的某些时域特征,是对尝试信号最简单直观的时域描述。将尝试信号采集到计算机后,在尝试VI中进行信号特征值处理,并在尝试VI前面板上直观地表示出信号的特征值,可以给尝试VI的使用者提供一个了解尝试信号变化的快速途径。信号的特征值分为幅值特征值、时间特征值和相位特征值。 2)信号的频域分析就是根据信号的频域描述来估计和分析信号的组成和特征量。测量时采集到的是时域波形,但是由于时域分析工具较少,往往把问题转换到频域来处理。频域分析包括频谱分析、功率谱分析、相干函数分析以及频率响应函数分析。通过信号的频域分析,可以确定信号中含有的频率组成成分和频率分布范围;还可以确定信号中的各频率成分的幅值和能量;同时还能分析各信号之间的相互关系。 3建立模型 本设计中用LabVIEW中的信号发生控件来代替信号采集部分产生信号。整个系统的设计均由软件来仿真实现。 本设计的虚拟频谱分析仪由两个软件模块组成:信号发生器模块和频谱分析模块。处理过程如下:首先将信号发生模块产生的尝试信号送数字滤波器处理,滤除干扰噪声,然后分别进行时域分析、频域

频谱分析仪的使用方法

频谱分析仪的使用方法(第一页) 13MHz信号。一般情况下,可以用示波器判断13MHz电路信号的存在与否,以及信号的幅度是否正常,然而,却无法利用示波器确定13MHz电路信号的频率是否正常,用频率计可以确定13MHz电路信号的有无,以及信号的频率是否准确,但却无法用频率计判断信号的幅度是否正常。然而,使用频谱分析仪可迎刃而解,因为频谱分析仪既可检查信号的有无,又可判断信号的频率是否准确,还可以判断信号的幅度是否正常。同时它还可以判断信号,特别是VCO信号是否纯净。可见频谱分析仪在手机维修过程中是十分重要的。 另外,数字手机的接收机、发射机电路在待机状态下是间隙工作的,所以在待机状态下,频率计很难测到射频电路中的信号,对于这一点,应用频谱分析仪不难做到。 一、使用前须知 在使用频谱分析仪之前,有必要了解一下分贝(dB)和分贝毫瓦(dBm)的基本概念,下面作一简要介绍。 1.分贝(dB) 分贝是增益的一种电量单位,常用来表示放大器的放大能力、衰减量等,表示的是一个相对量,分贝对功率、电压、电流的定义如下: 分贝数:101g(dB) 分贝数=201g(dB) 分贝数=201g(dB) 例如:A功率比B功率大一倍,那么,101gA/B=10182’3dB,也就是说,A功率比B功率大3dB, 2.分贝毫瓦(dBm) 分贝毫瓦(dBm)是一个表示功率绝对值的单位,计算公式为: 分贝毫瓦=101g(dBm) 例如,如果发射功率为lmw,则按dBm进行折算后应为:101glmw/1mw=0dBm。如果发射功率为40mw,则10g40w/1mw--46dBm。 二、频谱分析仪介绍 生产频谱分析仪的厂家不多。我们通常所知的频谱分析仪有惠普(现在惠普的测试设备分离出来,为安捷伦)、马可尼、惠美以及国产的安泰信。相比之下,惠普的频谱分析仪性能最好,但其价格也相当可观,早期惠美的5010频谱分析仪比较便宜,国产的安泰5010频谱分析仪的功能与惠美的5010差不多,其价格却便宜得多。 下面以国产安泰5010频谱分析仪为例进行介绍。 1.性能特点 AT5010最低能测到2.24uv,即是-100dBm。一般示波器在lmv,频率计要在20mv以上,跟频谱仪比相差10000倍。如用频率计测频率时,有的频率点测量很难,有的频率点测最不准,频率数字显示不

频谱仪的简单操作使用方法

. R3131A频谱仪简单操作使用方法 一.R3131A频谱仪简介。 R3131A频谱仪是日本ADVANTEST公司的产品,用于测量高频信号,可测量的频率范围为9K —3GHz。对于GSM手机的维修,通过频谱仪可测量射频电路中的以下电路信号, (维修人员可以通过对所测出信号的幅度、频率偏移、干扰程度等参数的分析,以判断出故障点,进行快速有效的维修): 1.手机参考基准时钟(13M,26M等); 2.射频本振(RFVCO)的输出频率信号(视手机型号而异); 3.发射本振(TXVCO)的输出频率信号(GSM:890M—915M;DCS:1710—1785M); 4.由天线至中频芯片间接收和发射通路的高频信号; 5.接收中频和发射中频信号(视手机型号而异)。 面板上各按键(如图-1所示)的功能如下: A区:此区按键是其他区功能按键对应的详细功能选择按键,例如按下B区的FREQ键后,会在屏幕的右边弹出一列功能菜单,要选择其中的“START”功能就可通过按下其对应位置的键来实现。 屏幕亮度调节旋钮数值微调旋钮

A区 D区 E区 (图-1)连接测试探针端口 B区:此区按键是主要设置参数的功能按键区,包括:FREQ—中心频率; SPAN—扫描频率宽度;LEVEL—参考电平。此区中按键只需直接按下对应键输入数值及单位即可。 C区:此区是数字数值及标点符号选择输入区,其中“1”键的另一个功能是“CAL(校.. . ”-),此功能要先按下“SHIFT(蓝色键”后再按下“1”键进行相应选择才起作用;“准)”是退格删除键,可删除错误输入。确ENTER(时间的单位,其中“Hz”键还有“频率、D区:参数单位选择区,包括幅度、电平、”的作用。认),二功能选择键有键控制区,较常使用的“SHIFT”第:E区系统功能按”调用存储的设置信息键,SHIFT+CONFIG(PRESET)“RECALL”选择系统复位功能,“)”选择将设置信息保存功能。“SHIFT+RECALL(SA VE区:信号波形峰值检测功能选择区。F”扫描时SWEEP其他参数功能选择控制区,常用的有“区:BW”信号带宽选择及“G”是指显示屏幕从左边到右边扫描一次的时间。,“SWEEP间选择)-2所示。显示屏幕上的信息(如图参考电平线REF LEVEL=15dBm 输入预衰减值A TT=20dB 日期 参数数值每格代表峰值状态的电平SPAN=10MHz 10dB 902.4M-5M=897.4M 902.4M+5M=917.4M -2)

简易频谱分析仪

简易频谱分析仪[ 2005年电子大赛二等奖] 摘要:本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP 算法。 SPCE061A单片机是整个设计的核心控制器件,根据从键盘接受的数据控制整个系统的工作流程,包括控制FPGA工作以及控制双路D/A在模拟示波器屏幕上描绘频谱图。人机接口使用128×64液晶和4×4键盘。本系统运行稳定,功能齐全,人机界面友好。 关键字:SPCE061A 简易频谱分析仪 一、方案论证 频谱分析仪是在频域上观察电信号特征,并在显示仪器上显示当前信号频谱图的仪器。从实现方式上可分为模拟式与数字式两类方案,下面对两种方案进行比较: 方案一:模拟式频谱分析仪 模拟方式的频谱仪以模拟滤波器为基础,通常有并行滤波法、顺序滤波法,可调滤波法、扫描外差法等实现方法,现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法,此方案原理框图如图1.1:

图 1.1 模拟外差式频谱仪原理框图 图中的扫频振荡器是仪器内部的振荡源,当扫频振荡器的频率在一定范围内扫动时,输入信号中的各个频率分量在混频器中产生差频信号 (),依次落入窄带滤波器的通带内(这个通带是固定的),获得中频增益,经检波后加到Y放大器,使亮点在屏幕上的垂直偏移正比于该频率分量的幅值。由于扫描电压在调制振荡器的同时,又驱动X放大器,从而可以在屏幕上显示出被测信号的线状频谱图。这是目前常用模拟外差式频谱仪的基本原理。模拟外差式频谱仪具有高带宽和高频率分辨率等优点,但是模拟器件调试复杂,短期实现有难度,尤其是在对频谱信息的存储和分析上,逊色于新兴的数字化频谱仪方案。 方案二:数字式频谱分析仪 数字式频谱仪通常使用高速A/D采集当前信号,然后送入处理器处理,最后将得到的各频率分量幅度值数据送入显示器显示,其组成框图如图1.2: 图 1.2 数字式频谱仪组成框图

Lab1 Spectrum Analyzer频谱分析仪的使用

LAB # 1 – ANALYZING SIGNALS IN THE FREQUENCY DOMAIN INTRODUCTION You have probably connected various equipment to an oscilloscope in order to test various characteristics; if so, you know that the oscilloscope display shows the user a graph of amplitude (voltage) vs. time. Amplitude is on the vertical axis and time is on the horizontal axis. In telecommunications, when dealing with radio frequency (RF) waves, it is often beneficial to view signals in the frequency domain, rather than in the time domain. In the frequency domain, the vertical axis is still amplitude (usually power), but the horizontal axis is frequency instead of time. TIME DOMAIN: Amplitude vs. Time FREQUENCY DOMAIN: Amplitude vs. Frequency In this experiment, we will look at the characteristics of an RF signal using an oscilloscope (time domain) and using a spectrum analyzer (frequency domain). This will prepare you for future labs that deal with frequency-domain signals. MATERIALS & SETUP ? 1 MHz Signal Generator ? Oscilloscope ?HP Spectrum Analyzer ?BNC T-Connector ? Coaxial Cables ?RF adapters Fig. 1-1

音频频谱分析仪设计

信号处理实验 实验八:音频频谱分析仪设计与实现

一、实验名称:音频频谱分析仪设计与实现 二、实验原理: MATLAB是一个数据信息和处理功能十分强大的工程实用软件,其数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令。本实验可以用MATLAB进行音频信号频谱分析仪的设计与实现。 1、信号频率、幅值和相位估计 (1)频率(周期)检测 对周期信号来说,可以用时域波形分析来确定信号的周期,也就是计算相邻的两个信号波峰的时间差、或过零点的时间差。这里采用过零点(ti)的时间差T(周期)。频率即为f = 1/T,由于能够求得多个T值(ti有多个),故采用它们的平均值作为周期的估计值。 (2)幅值检测 在一个周期内,求出信号最大值ymax与最小值ymin的差的一半,即A = (ymax - ymin)/2,同样,也会求出多个A值,但第1个A值对应的ymax和ymin不是在一个周期内搜索得到的,故以除第1个以外的A值的平均作为幅值的估计值。 (3)相位检测 采用过零法,即通过判断与同频零相位信号过零点时刻,计算其时间差,然后换成相应的相位差。φ=2π(1-ti/T),{x}表示x的小数部分,同样,以φ的平均值作为相位的估计值。 频率、幅值和相位估计的流程如图所示。

其中tin表示第n个过零点,yi为第i个采样点的值,Fs为采样频率。 2、数字信号统计量估计 (1) 峰值P的估计 在样本数据x中找出最大值与最小值,其差值为双峰值,双峰值的一半即为峰值。P=0.5[max(yi)-min(yi)] (2)均值估计 式中,N为样本容量,下同。 (3) 均方值估计

频谱仪的简单操作使用方法

R3131A频谱仪简单操作使用方法 一.R3131A频谱仪简介。 R3131A频谱仪是日本ADV ANTEST公司的产品,用于测量高频信号,可测量的频率范围为9K—3GHz。对于GSM手机的维修,通过频谱仪可测量射频电路中的以下电路信号, (维修人员可以通过对所测出信号的幅度、频率偏移、干扰程度等参数的分析,以判断出故障点,进行快速有效的维修): 1.手机参考基准时钟(13M,26M等); 2.射频本振(RFVCO)的输出频率信号(视手机型号而异); 3.发射本振(TXVCO)的输出频率信号(GSM:890M—915M;DCS:1710—1785M); 4.由天线至中频芯片间接收和发射通路的高频信号; 5.接收中频和发射中频信号(视手机型号而异)。 面板上各按键(如图-1所示)的功能如下: A区:此区按键是其他区功能按键对应的详细功能选择按键,例如按下B区的FREQ 键后,会在屏幕的右边弹出一列功能菜单,要选择其中的“START”功能就可通过按下其对 (图-1) B区:此区按键是主要设置参数的功能按键区,包括:FREQ—中心频率; SPAN—扫描频率宽度;LEVEL—参考电平。此区中按键只需直接按下对应键输入数值及单位即可。 C区:此区是数字数值及标点符号选择输入区,其中“1”键的另一个功能是“CAL(校

准)”,此功能要先按下“SHIFT(蓝色键)”后再按下“1”键进行相应选择才起作用; “-”是退格删除键,可删除错误输入。 D 区:参数单位选择区,包括幅度、电平、频率、时间的单位,其中“Hz ”键还有“ENTER(确认)”的作用。 E 区:系统功能按键控制区,较常使用的有“SHIFT ”第二功能选择键,“SHIFT+CONFIG(PRESET )”选择系统复位功能,“RECALL ”调用存储的设置信息键,“SHIFT+RECALL(SA VE )”选择将设置信息保存功能。 F 区:信号波形峰值检测功能选择区。 G 区:其他参数功能选择控制区,常用的有“BW ”信号带宽选择及“SWEEP ”扫描时间选择,“SWEEP ”是指显示屏幕从左边到右边扫描一次的时间。 显示屏幕上的信息(如图-2所示)。 二.一般操作步骤。[“ ”表示的是菜单面板上直接功能按键,“ ” 表 示单个菜单键的详细功能按键(在显示屏幕的右边)]: 1) 按Power On 键开机。 2) 每次开始使用时,开机30分钟后进行自动校准,先按 Shift+7(cal ) ,再选择 cal all 键,校准过程中出现“Calibrating ”字样,校准结束后如通过则回复校准前状态。校准过程约进行3分钟。 3) 校准完成后首先按 FREQ 键,设置中心频率数值,例如需测中心频率为902.4M 的信

基于DSP的简易频谱分析仪设计

基于DSP的简易频谱分析仪设计 摘要 我们对一个信号的认识只在时间域是远远不够的,所以还要在频域去认识和分析它。在电子测量中,测量网络阻抗特性以及传输特性是经常遇到的问题问题,其中,幅频特性、增益和衰减特性、相频特性等是属于传输特性内的。它很大程度方便了调整,校准被测网络及排除故障。 本此设计制作了一个简易频谱分析仪从而可以更直观的看到信号的特性。为了实现这一目标,我们需要利用快速傅里叶变换(FFT)来实现对信号的频谱分析。由于DSP可以处理比较复杂的算法本次设计采用FFT算法通过DSP分析显示输入波形的频率值。 关键词:频谱分析DSP FFT 显示频率

The Simple Spectrum Analyzer Design Based on DSP Abstract We can’t know a signal only in the time domain .It is far from enough, so we also recognize and analyze it in the frequency domain. In the electronic measurement, impedance and transmission characteristics of the network are often encountered in the measurement problems; Transmission characteristics include the gain characteristics, attenuation characteristics, amplitude-frequency characteristic and phase frequency characteristics. It provides a great convenience for the adjustment of the network under test, calibration and troubleshooting. We design a simple spectrum analyzer to see the characteristics of the signal more intuitively. In order to achieve this goal, we need to use the fast Fourier transform ,that is FFT which make spectrum analysis of the signal. Since the DSP can solve the more complex algorithms than others. Hence, we designed a simple spectrum analyzer using the FFT algorithm by DSP to show the frequency of the input waveform. Key word s: Spectrum Analyzer ; DSP; FFT ; Frequency Display

基于STMF的频谱分析仪

单片机课程设计 基于STM32F1的频谱分析仪班级:电子信息工程1111班 姓名(学号): 指导老师:

题目:基于STM32F1的频谱分析仪 关键词:频谱分析仪,STM32F1,快速傅立叶变换,FFT,双色点阵 摘要 本设计是基于STM32F1的频谱分析仪。以STM32F103RBT6为控制核心,双色点阵屏为显示器。硬件上由电源管理,通信模块,放大电路,以及单片机最小系统组成。算法上采用简洁稳定的快速傅立叶变换作为主要的核心算法,辅以自动增益控制,实现信号从时域到频域的变换。通过双色点阵屏显示,具有直观,清晰等特点。 1.引言 目前,由于频谱分析仪价格昂贵,学校里只有少数实验室配有频谱仪。但是电子信息类教学,如果没有频谱仪辅助观察,同学们只能从书本中抽象理解信号的特征,严重影响教学实验效果。 正对这种现状,提出了一种基于STM32F1的简易频谱分析仪的设计方案,其优点是成本低,能够直观的反映信号在频域的特征。 2.系统方案 本设计采用STM32F1作为核心处理器,该处理器内核架构ARM Cortex-M3,具有高性能、低成本、低功耗等特点。 主控板包括电源模块、红外通信模块、TDA2822放大模块等;信号经过放大电路放大之后,由芯片自带的ADC将模拟信号转换为数字信号,再由主控芯片对数字信号进行快速傅立叶变换,驱动双色点阵屏显示。 软件算法的核心内容就是快速傅立叶变换。如下图为本设计总体框图。

↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ 3.系统硬件设计 针对前面提出的整体设计方案,本设计采取模块化策略,将各个功能部分开来设计,最后组合起来。 3.1电源管理模块 系统的核心芯片为STM32F103,常用工作电压为3.3V,同时内部的ADC工作的参考电压也是3.3V,一般的外部电源的电压都为5V,要使系统正常工作,需要将5V 的电源电压稳压到3.3V。常用的78系列稳压芯片已不再适用,必须选择性能更好的稳压芯片。

数字频谱分析仪设计论文

本科生毕业论设计 论文题目:数字频谱分析仪 姓名: 学号: 班级: 年级: 专业: 学院:机械与电子工程学院 指导教师: 完成时间:

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

史上最好的频谱分析仪基础知识(收藏必备)

频谱分析是观察和测量信号幅度和信号失真的一种快速方法,其显示结果可以直观反映出输入信号的傅立叶变换的幅度。信号频域分析的测量范围极其宽广,超过140dB,这使得频谱分析仪成为适合现代通信和微波领域的多用途仪器。频谱分析实质上是考察给定信号源,天线,或信号分配系统的幅度与频率的关系,这种分析能给出有关信号的重要信息,如稳定度,失真,幅度以及调制的类型和质量。利用这些信息,可以进行电路或系统的调试,以提高效率或验证在所需要的信息发射和不需要的信号发射方面是否符合不断涌现的各种规章条例。 现代频谱分析仪已经得到许多综合利用,从研究开发到生产制造,到现场维护。新型频谱分析仪已经改名叫信号分析仪,已经成为具有重要价值的实验室仪器,能够快速观察大的频谱宽度,然后迅速移近放大来观察信号细节已受到工程师的高度重视。在制造领域,测量速度结合通过计算机来存取数据的能力,可以快速,精确和重复地完成一些极其复杂的测量。 有两种技术方法可完成信号频域测量(统称为频谱分析)。 1.FFT分析仪用数值计算的方法处理一定时间周期的信号,可提供频率;幅度和相位信息。这种仪器同样能分析周期和非周期信号。FFT 的特点是速度快;精度高,但其分析频率带宽受ADC采样速率限制,适合分析窄带宽信号。 2.扫频式频谱分析仪可分析稳定和周期变化信号,可提供信号幅度和频率信息,适合于宽频带快速扫描测试。

图1 信号的频域分析技术 快速傅立叶变换频谱分析仪 快速傅立叶变换可用来确定时域信号的频谱。信号必须在时域中被数字化,然后执行FFT算法来求出频谱。一般FFT分析仪的结构是:输入信号首先通过一个可变衰减器,以提供不同的测量范围,然后信号经过低通滤波器,除去处于仪器频率范围之外的不希望的高频分量,再对波形进行取样即模拟到数字转换,转换为数字形式后,用微处理器(或其他数字电路如FPGA,DSP)接收取样波形,利用FFT计算波形的频谱,并将结果记录和显示在屏幕上。 FFT分析仪能够完成多通道滤波器式同样的功能,但无需使用许多带通滤波器,它使用数字信号处理来实现多个独立滤波器相当的功能。从概念上讲,FFT方法

简易频谱分析仪

简易频谱分析仪 摘要:本系统采用TI 公司的16位单片机MSP430F149作为控制核心,采用外差原理设计并实现频谱分析仪,基于DDS 技术得到10 kHz 步进的本机振荡器,采用AD835进行混频,通过低通滤波器取出差频信号分量,再配合放大、检波电路得到各个频点的信号有效值。单片机MSP430F149与扫频同步输出锯齿波扫描电压,利用示波器X-Y 方式显示信号频谱分布。测量频率范围覆盖1MHz-30MHz ,可设定中心频率和带宽,还可以识别调幅,调频和等幅波信号。 关键词:MSP430F149,DDS ,混频,频谱分析 一、 系统方案 1. 方案比较与选择 1.1频谱分析仪的实现 方案一 :模拟式频谱分析仪 模拟方式的频谱仪以模拟滤波器为基础,通常有并行滤波法、顺序滤波法,可调滤波法、扫描外差法等实现方法,现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法,此方案原理框图如图1: U 图1 模拟外差式频谱仪原理框图 图中的扫频振荡器是仪器内部的振荡源,当扫频振荡器的频率f L 在一定范围内扫动时,输入信号中的各个频率分量f x 在混频器中产生差频信号(f o = f x -f L ),依次落入窄带滤波器的通带内(这个通带是固定的),获得中频增益,经检波后加到Y 放大器,使亮点在屏幕上的垂直偏移正比于该频率分量的幅值。由于扫描电压在调制振荡器的同时,又驱动X 放大器, 从而可以在屏幕上显示出被测信号的线状频谱图。这是目前常用模拟外差式频谱仪的基本原理。模拟外差式频谱仪具有高带宽和高频率分辨率等优点,但是模拟器件调试复杂,短期实现有难度。 方案二:数字式频谱分析仪 数字式频谱仪通常使用高速A/D 采集当前信号,然后送入处理器处理,最后将得到的各频率分量幅度值数据送入显示器显示,其组成框图如图3: 图3 数字式频谱仪组成框图 信号经高速A/D 采集送入处理器,通过硬件乘法器与本地由DDS 产生的本振扫频信号混频,变频后信号不断移入低通数字滤波器,然后提取通过低通滤波器的信号幅度,根据当前频率和提取到的幅度值,即可以绘制当前信号频谱图。但缺点是频率越高,对DSP 芯片的速度要求越高,相应价格也越昂贵。 根据实际条件和成本上的考虑,在满足题目要求的前提下,我们选择方案一

频谱分析报告仪地使用方法

频谱分析仪的使用方法 13MHz信号。一般情况下,可以用示波器判断13MHz电路信号的存在与否,以及信号的幅度是否正常,然而,却无法利用示波器确定13MHz电路信号的频率是否正常,用频率计可以确定13MHz电路信号的有无,以及信号的频率是否准确,但却无法用频率计判断信号的幅度是否正常。然而,使用频谱分析仪可迎刃而解,因为频谱分析仪既可检查信号的有无,又可判断信号的频率是否准确,还可以判断信号的幅度是否正常。同时它还可以判断信号,特别是VCO信号是否纯净。可见频谱分析仪在手机维修过程中是十分重要的。 另外,数字手机的接收机、发射机电路在待机状态下是间隙工作的,所以在待机状态下,频率计很难测到射频电路中的信号,对于这一点,应用频谱分析仪不难做到。 一、使用前须知 在使用频谱分析仪之前,有必要了解一下分贝(dB)和分贝毫瓦(dBm)的基本概念,下面作一简要介绍。 1.分贝(dB) 分贝是增益的一种电量单位,常用来表示放大器的放大能力、衰减量等,表示的是一个相对量,分贝对功率、电压、电流的定义如下: 分贝数:101g(dB) 分贝数=201g(dB) 分贝数=201g(dB) 例如:A功率比B功率大一倍,那么,101gA/B=10182’3dB,也就是说,A功率比B功率大3dB, 2.分贝毫瓦(dBm) 分贝毫瓦(dBm)是一个表示功率绝对值的单位,计算公式为: 分贝毫瓦=101g(dBm) 例如,如果发射功率为lmw,则按dBm进行折算后应为:101glmw/1mw=0dBm。如果发射功率为40mw,则10g40w/1mw--46dBm。 二、频谱分析仪介绍 生产频谱分析仪的厂家不多。我们通常所知的频谱分析仪有惠普(现在惠普的测试设备分离出来,为安捷伦)、马可尼、惠美以及国产的安泰信。相比之下,惠普的频谱分析仪性能最好,但其价格也相当可观,早期惠美的5010频谱分析仪比较便宜,国产的安泰5010频谱分析仪的功能与惠美的5010差不多,其价格却便宜得多。 下面以国产安泰5010频谱分析仪为例进行介绍。 1.性能特点 AT5010最低能测到2.24uv,即是-100dBm。一般示波器在lmv,频率计要在20mv以上,跟频谱仪比相差10000倍。如用频率计测频率时,有的频率点测量很难,有的频率点测最不准,频率数字显示不稳定,甚至测不出来。这主要足频率计灵敏度问题,即信号低于20mv频率计就无能为力了,如用示波器测量时,信号5%失真示波器看不出来,在频谱仪上万分之一的失真都能看出来。

基于DSP的简易频谱仪设计方案

基于DSP的简易频谱仪 设计方案 指导老师:姚振东 班级:信处092 姓名:苟海军 2009021109 朱鑫 2009021114 郑顺 200902 二零一二年四月二十八日

摘要 本文设计了一种实时信号频谱分析系统,该系统以TMS320VC5402DSP作为系统数据处理核心,首先对信号作滤波处理,再通过AD9200高速模数转换芯片对数据信号进行采样,最后通过串口在PC机上完成对数据的显示。其中,DSP芯片完成数模转换和FFT变换。应用DSP芯片,可以完全胜任较高频率信号处理的工作,在本系统中的信号频率为2MHz。另外,本系统的设计能够实现对信号的实时频谱分析并显示。 关键词:频谱分析DSP FFT

Abstract This paper introduces the design of a real time signal spectrum analysis system,the system uses TMS320VC5402DSP as the core of data processing system,to complete the signal filter processing at frist and sampling the data signal by the high speed analog to digital conversion chip-AD9200.At last,the system will display the data by USART on the computer. What need points out is that DSP chip completes the conversion and FFT transform mainly. Application of DSP device can be fully qualified for the job that processing the high frequency signal.In addition,the frequency of the signal is about 2MHz.What's more,the design of this system can complete the real-time signal spectrum analysis and display. Keywords:Spectrum analysis DSP FFT

相关文档