文档库 最新最全的文档下载
当前位置:文档库 › 常熟理工学院 微机原理与接口技术实验指导书

常熟理工学院 微机原理与接口技术实验指导书

常熟理工学院 微机原理与接口技术实验指导书
常熟理工学院 微机原理与接口技术实验指导书

实验一
一、实验目的
单片机实验设备的使用及简单程序的运行、调试
通过一简单的实验掌握: 1. 掌握 PL2303 驱动的安装,掌握 Keil3 开发环境的安装、设置和基本使用; 2. 掌握项目、文件的建立方法、程序的下载以及寄存器、存储器内容的查看方法; 3. 掌握程序的执行及断点设置方法。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
通过了解 P1 口的开关控制电路和 P0 的 LED 电路,编写基本输入输出实验程序。实验内容为设置 P1 为输入口,P0 口为输出口,将 P1 口的开关状态发送到 P0 口,让灯亮灭,将 P1 的电平状态通过 P0 口的 LED 的亮灭表示出来。
四、实验步骤
读懂电路原理图如图 1-1 和图 1-2 所示: , 插上 USB 连接线, 将电源选择拨码开关拨至 “USB 供电” , 将示例程序进行编译、装载、下载,下载时将拨码开关 S44 拨至“开”状态,拨动拨码开关 S31~S38 的开关状态,观察实验现象,实验电路原理图
图 1-1
LED 接口电路

图 1-2
拨码开关电路图
五、示例程序
程序清单如下: #include void main(void) // 程序主函数 { while(1) // 循环用于实时扫描 IO 状态 { P0=P1; // 将 P1 口的数据发送给 P0 口 } }
六、实验注意事项
1.在输入程序时,其中的字母、符号均须在英文方式下进行。 2.特殊功能寄存器中的字母必须要大写,如若将示例程序中的 P0 写成 p0,程序将提示出错。
七、思考题
1. 对 P1 口进行初始值的幅值,查看 P0 口的 LED 提示是否正确,若想实现由 LED 显示与开关相反 的状态程序如何修改? 2. 观察 Keil3 软件中 PeripheralsàI/O-PortsàPort0、Port1 的状态即 P0、P1 的 IO 状态。 3. 简述你在本实验中遇到过哪些问题?这些问题是解决的?有何收获和体会?

实验二
一、实验目的
流水灯实验
1、掌握单片机实验箱的使用方法与程序调试技巧; 2、学会使用 51 系列单片机 I/O 的基本输入输出功能。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
设定 P1 口为 8 位输入口,P0 口为 8 位输出口,实验电路原理图参考实验一。 。在 8 个输入开关 (S31~S38)中,本实验只要求用两个两关为:S31 和 S32,当 S31 为高电平时,P0 口所接的发光二极 管(D19~D26)以一定的速度自左至右循环点亮 8 次,当 S31 为低电平时,发光二极管仅 D19 点亮; 当 S32 为高电平时,P0 口所接的发光二极管(D19~D26)以一定的速度自右至左循环点亮 8 次,当 S32 为低电平时,发光二极管仅 D19 点亮。请编写程序并完成调试。
四、实验步骤
1.自行编写程序,将实验箱电源开关拨至 USB 供电,并将拨码开关总开关 S44 拨至“开”状态。 2.下载程序并运行程序,改变开关状态,观察发光二极管显示结果。
五、思考题
1.拨动 8 个输入开关(S31~S38) ,把 8 位数据送到 P1 口,CPU 从 P1 口读入 8 个开关(S31~S38) 的状态数据,任意使用其中的两个开关控制 P0 口发光二极管(D19~D26)自左至右、自右至左、自中 间至两边、自两边至中间不断循环点亮。请编写程序并调试。 2.8 个拨码开关(S31~S38)实现对 P0 口发光二极管的亮度控制。依次拨上开关 S31、S32…S38, 发光二极管由暗变亮;依次拨下开关 S38、S37…S31,发光二极管由亮变暗。
实验三
一、实验目的
报警产生器
1、掌握单片机实验箱的使用方法与程序调试技巧; 2、学会使用 51 系列单片机 I/O 的基本输入输出功能及相应的驱动模块的设计。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
用 P1.0 输出 1KHz 和 500Hz 的音频信号驱动扬声器, 作报警信号, 要求 1KHz 信号响 100ms, 500Hz 信号响 200ms,交替进行,P1.0 由开关控制输出与否,当开关合上响报警信号,当开关断开报警信号停 止,请按流程图编出程序,流程图中的开关 K1 为实验一原理图中的 S31。开关 K1 按下即 S31 为高电 平,开关 K1 未按下即 S31 为低电平。图 3-1 所示为蜂鸣器驱动电路,图 3-2 所示为程序流程图。

图 3-1
蜂鸣器接口电路
图 3-2 程序流程图
四、实验步骤
1. 信号产生的方法:500Hz 信号周期为 2ms,信号电平为每 1ms 变反 1 次;1KHz 的信号周期为 1ms,信号电平每 500us 变反 1 次。 2. 编写程序,将实验箱电源开关拨至 USB 供电,并将拨码开关总开关 S44 拨至“关”状态。 3. 下载程序并运行程序,将蜂鸣器开关 S1 打开,控制蜂鸣器发声。
五、思考题
1.简述你在本次实验中遇到过哪些问题?这些问题是怎样解决的?有何收获和体会? 2. 本实验的硬件通过编程可以演奏出悦耳的乐曲、可以实现报警声、门铃声音。现要求单片机产 生“嘀、嘀、…”报警声从 P1.0 端口输出,产生频率为 1KHz。当 1KHZ 方波从 P1.0 输出 0.2 秒,接着 0.2 秒从 P1.0 输出电平信号,如此循环下去时,就形成所需的报警声了。请有兴趣的同学编程调试。

实验四
一、实验目的
1、学习外部中断技术的基本使用方法 2、中断处理的编程方法。
外部中断实验
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
单片机外部中断 0(P3.2)已与独立式键盘中 S27 连接,外部中断 1(P3.3)已与 S28 连接,要求 在无外部中断时最右一位发光二极管(D26)点亮。请编程实现:当 S27 按下,外部中断 0 请求中断, 控制发光二极管左移;当 S28 按下,外部中断 1 请求中断,控制发光二极管闪烁。 (要求外部中断 1 优 先级高于外部中断 0) 。单片机与发光二极管的连续见实验一原理图,独立式键盘原理图如图 4-1 所示。
4-1 独立式键盘原理图
四、思考题
1.51 单片机的中断系统由哪几个特殊功能寄存器组成? 2.中断函数与函数调用有何不同? 3.MCS-51 单片机若要把外部中断源扩充为 6 个,另采用哪些方法?如何确定其优先级?
实验五
一、实验目的
数码管实验
1、学习并掌握 74HC573 锁存器的使用方法; 2、学会使用 8 段共阴极数码管的动态显示方法。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
通过编程,实现 8 段数码管的动态扫描显示,要求 8 个数码管从左到右分别显示“1” 、 “2” 、 “3” 、 “4” 、 “A” 、 “b” 、 “C” 、 “d” 。 数码管接口电路如图 5-1 所示:

图 5-1
数码管接口电路原理图
四、思考题
1.何谓数码管的动态显示,其原理是什么? 2.简述锁存器的工作原理,在本实验中具有什么作用?
实验六
一、实验目的
定时器/计数器实验(一)
掌握单片机定时器和定时中断的编程方法。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
编写实验程序,实现 59 秒倒计时功能,初始状态数码管最后两位显示数字“59” ,要求定时器 0 每 10ms 产生一次中断,在定时中断服务程序里进行计数,计数满一秒,数码管显示相应减 1,倒计时 结束时,数码管显示“00” ,并以周期 1 秒进行闪烁,单片机与数码管接口电路原理图见实验五中图 5-1 所示。
四、思考题
1.画出主程序和定时中断流程图; 2.实验中有何故障、问题出现,是否得到解决?如何解决的?
实验七
一、实验目的
定时器/计数器实验(二)
1、掌握单片机定时器/计数器的工作方式和编程原理; 2、掌握外部脉冲计数方法。
二、实验设备及器材
单片机实验箱一台;PC 机一台;USB 下载线一根。

三、实验内容
单片机的定时器/计数器就是一个 16 位二进制加法计数器,当选择计数模式时,计数脉冲来自于 外部引脚 P3.4(T0),P3.5(T1),因此,只需要初始化时将计数寄存器清零,启动计数器,然后读取计 数寄存器的数值即可知外部脉冲个数。 编写程序实现对 T0 引脚输入的外部脉冲进行计数,并在数码管上显示计数结果。外部脉冲由独立 按键 S29 产生,要求 S29 每按一次,产生一个脉冲,数码管显示的数字加 1。 画出程序流程图,编写程序,并下载调试。独立式按键原理图见实验四中图 4-1 所示,单片机与数 码管接口电路原理图见实验五中图 5-1 所示。
四、思考题
1、按键的抖动对本实验有何影响?如何消除? 2、请设计程序,实现每当外部脉冲计数达到 5 个时,数码管显示的数字加 1。
实验八
一、实验目的
1、掌握串行口的工作方式及其设置; 2、掌握串行口工作方式 1 的应用; 3、掌握串行口的波特率设置。
串口通信实验
二、实验设备及器材
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
自行编制程:要求利用 PL2303 实现 51 单片机串口通信,51 单片机发送数据,利用串口调试助手 接收并查看数据,串口通信原理图如图 7-1 所示,PL2003 实现串口转 USB 原理图如图 7-2 所示。 (1)51 单片机发送十六进制“5A”到 PC 机; (2)51 单片机发送字符串“0123456789”到 PC 机。
图 7-1 单片机与 PC 机串行通信原理图

图 7-2 串口转 USB 原理图
四、思考题
1.串行通信中波特率是如何进行设置的? 2.串行通信 4 种方式有何区别? 3.设计程序实现 PC 机发送数据,51 单片机接收数据,并将接收的数据发送至 PC 机。
实验九
一、实验目的
8255 接口扩展(一)
掌握单片机外部扩展接口技术,熟悉 8255 的扩展方法及其性能。
二、实验设备及器材
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容及步骤
(1)自行编制程序:要求用 8255 的 PA 口接按键作输入口,PB 口接发光二极管作输出口;从 PA 口读取输入的按键状态送到 PB 口以发光二极管显示。 (2)自行编制程序:要求用 8255 的 B 口做输出口,控制八位发光二极管的亮、灭,使发光二极管 从左向右移位点亮,再从右向左移位点亮。 (3)请在实验箱上用 8255 实现以下功能:8255 B、C 口输出方波,即在 8255 的 B、C 口可观察发 光二极管的闪烁变化。

图 9-1 8255 接口扩展原理图
四、思考题
1.8255 的功能是什么? 2.如何计算 8255 的端口地址?
实验十
8255 接口扩展(二)

一、实验目的
掌握可编程 I/O 接口芯片 8255 的接口原理, 熟悉对 8255 初始化编程和输入、 输出软件的设计方法。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
自行编制程序用 8255 实现交通红绿灯的控制。其控制要求如下: (a)初始状态全为红灯。 (b)南 北红灯亮并维持 25s。在南北红灯亮的同时,东西绿灯也亮,并维持 20s。到 20s 时,东西绿灯闪亮, 闪亮 3s 后熄灭,在东西绿灯熄灭时,东西黄灯亮,并维持 2s。到 2s 时,东西黄灯熄灭,东西红灯亮。 与此同时,南北红灯熄灭,南北绿灯亮。 (c)东西红灯亮维持 30s。南北绿灯亮维持 25s,然后闪亮 3s 再熄灭。同时南北黄灯亮,维持 2s 后熄灭,这时南北红灯亮,东西绿灯亮。(d)周而复始。其时序如图 10-1 所示。实验原理图见实验九中的图 9-1。
南北红灯 东西绿灯 东西黄灯 东西红灯 南北绿灯 南北黄灯
20S 3S 2S 25S 3S 2S
图 10-1
交通灯控制时序图
五、思考题
1.8255 的功能是什么? 2.如何计算 8255 的端口地址?
实验十一
一、实验目的
矩阵键盘识别实验
(1)掌握 4×4 矩阵键盘的工作原理和键盘的扫描方式。 (1)掌握键盘的去抖方法和键盘应用程序的设计。
二、实验设备
单片机实验箱一台;PC 机一台;USB 下载线一根。
三、实验内容
自行编制程序,用 51 单片机实现 4×4 矩阵键盘扫描,采用线反转法;并实现当 S11 按下时在数码 管上显值“0” ,当 S12 按下时在数码管上显值“1”……,即依次将 S11 至 S26 按下,在数码管上依次 显示十六进制数“0-F” ,矩阵键盘原理图如图 11-1 所示。单片机与数码管接口电路原理图见实验五中

图 5-1 所示。
图 11-1 矩阵键盘接口电路
四、思考题
1.画出所编程序的流程图; 2.若要实现 2×4 矩阵键盘,软硬件作如何修改。 3.实验中有何故障、问题出现,是否得到解决?如何解决的?

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

微机原理实验指导书

微机原理及应用实验指导书 南京理工大学机械工程学院 2011年10月10日

实验1 基本操作实验 1. 实验目的 (1) 掌握TD-PITC 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 (2) 掌握使用运算类指令编程及调试方法; (3) 掌握运算类指令对各状态标志位的影响及其测试方法; (4) 学习使用软件监视变量的方法。 2. 实验设备 PC机一台,TD-PITC 实验装置一套。 3. 实验内容及步骤 通过对样例程序的操作,学会在TD-PITC境下,如何输入汇编语言程序,如何进行汇编语言源程序的汇编、连接、下载和运行;在调试程序的学习过程中,应学会: ●如何设置断点; ●如何单步运行程序; ●如何连续运行程序; ●怎样查看寄存器的内容; ●怎样修改寄存器的内容; ●怎样查看存储器的内容; ●怎样修改存储器的内容。 3.1 实验内容1――――BCD码转换为二进制数 实验内容: 将四个二位十进制数的BCD 码存放于3500H 起始的内存单元中,将转换的二进制数存入3510H 起始的内存单元中,自行绘制流程图并编写程序。 参考实验程序清单如下: SSTACK SEGMENT STACK DW 64 DUP(?) SSTACK ENDS CODE SEGMENT ASSUME CS:CODE START: XOR AX, AX MOV CX, 0004H MOV SI, 3500H MOV DI, 3510H A1: MOV AL, [SI] ADD AL, AL MOV BL, AL

ADD AL, AL ADD AL, AL ADD AL, BL INC SI ADD AL, [SI] MOV [DI], AL INC SI INC DI LOOP A1 A2: JMP A2 CODE ENDS END START 实验步骤: 1)运行Wmd86 软件,进入Wmd86 集成开发环境。 2)根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1 语言环境选择界面 3)语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-2所示。默认文件名为Wmd861。 图1-2 新建文件界面 4)编写实验程序,如图1-3所示,并保存,此时系统会提示输入新的文件名,输完后点击保存。

微机原理与接口技术实验汇总

实验要求 1、带预习报告上机 根据实验要求,作好充分预习,设计实验硬件原理及接线图(必须标出相关的管脚号,以备硬件连线及测试),画出程序流程图,写出源程序清单,规划好调试步骤,上机时带预习报告。注意:无预习报告者不得做实验。 2、认真实验,经教师检查后,方可离开 注意掌握软、硬件调试方法,提高分析问题、解决问题的能力。对所编写的程序必须十分清楚,作到知其然,亦知其所以然,硬件电路原理清楚,熟练应用常规的仪器(如万用表、示波器等)检查测试硬件电路。指导教师还会根据实验要求,提出问题。3、按时提交实验报告 实验结束后,在预习报告的基础上,完成实验报告,并于下次实验时交给指导教师。 实验报告格式 实验* ***** ***** ***** 一、实验目的 二、实验内容 三、硬件原理及接线图 四、程序流程图 五、程序清单 六、实验步骤 七、实验结果及现象分析 八、收获及建议 测13实验安排: 地点:综合实验楼0701 实验周次星期小节 一 6 五1,2 二8 五1,2 三10 五1,2 四12 五1,2 五14 五1,2

实验一汇编语言程序的调试 一、实验目的 1、掌握8086汇编程序的编辑、编译、连接及运行过程。 2、掌握使用HQFC软件调试程序的方法。 二、实验内容:编制程序并调试 1、上机调试P45 项目1程序。 要求:1)写出调试步骤 2)记下代码段数据。 3)记下数据段数据 4)用单步调试法调试,记下每句程序目的操作数执行结果。观察每句程序 执行过程中CS、 IP、 FLAGS寄存器的变化。 2、上机调试P54 项目2程序,用单步调试法指出每句程序的调试结果。 要求:1)记下代码段数据。 2)记下数据段数据 3)记下程序执行前附加段数据、程序执行后附加段数据。 3)用单步调试法调试,记下lop句之前每句程序目的操作数执行结果。 观察其它句程序的执行结果,观察每句程序执行过程中CS、 IP、 FLAGS寄存器的 变化。 三、调试步骤 1、编辑源程序,形成****.ASM文件(可用HQFC软件或XP系统下的记事本编辑源程序) (注:在E:盘上建立一个你自己的文件夹,保存你自己的文件) 2、编译,形成****.OBJ文件(可用HQFC软件的编译功能) 3、链接,形成****.EXE文件(可用HQFC软件的构建功能) 4、运行(或调试)(可用HQFC软件的重构运行功能或开始调试) 四、调试步骤参考 1、编译(编译) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择编译选项则程序对当前ASM源文件进行编译,编译调试窗口中输出汇编的结果,若程序汇编有错,则详细报告错误信息。双击输出错误,集成开发环境会自动将错误所在行代码显示。 2、构建(汇编+链接) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择汇编+链接选项则程序对当前ASM源文件进行汇编与链接,编译调试窗口中输出汇编与链接的结果,若程序汇编或链接有错,则详细报告错误信息。双击输出错误,集成开发环境会自动将错误所在行代码显示。 3、重构运行(汇编+链接+执行) 在当前运行环境下,选择菜单栏中的“ASM文件编译”菜单,选择汇编+链接+执行选项则程序对当前ASM源文件执行,程序自动运行。

单片机原理及其接口技术实验报告

单片机原理及其接口技术实验指导书 实验1 Keil C51的使用(汇编语言) 一.实验目的: 初步掌握Keil C51(汇编语言)和ZY15MCU12BD型综合单片机实验箱的操作和使用,能够输入和运行简单的程序。 二.实验设备: ZY15MCU12BD型综合单片机实验箱一台、具有一个RS232串行口并安装Keil C51的计算机一台。 三.实验原理及环境: 在计算机上已安装Keil C51软件。这个软件既可以与硬件(ZY15MCU12BD型综合单片机实验箱)连接,在硬件(单片机)上运行程序;也可以不与硬件连接,仅在计算机上以虚拟仿真的方法运行程序。如果程序有对硬件的驱动,就需要与硬件连接;如果没有硬件动作,仅有软件操作,就可以使用虚拟仿真。 四:实验内容: 1.掌握软件的开发过程: 1)建立一个工程项目选择芯片确定选项。 2)加入C 源文件或汇编源文件。 3)用项目管理器生成各种应用文件。 4)检查并修改源文件中的错误。 5)编译连接通过后进行软件模拟仿真。 6)编译连接通过后进行硬件仿真。 2.按以上步骤实现在P1.0输出一个频率为1Hz的方波。 3.在2的基础上,实现同时在P1.0和P1.1上各输出一个频率同为1Hz但电平状态相反的方波。 五:程序清单: ORG 0000H AGAIN:CPL P1.0 MOV R0,#10 ;延时0.5秒 LOOP1:MOV R1,#100 LOOP2:MOV R2,#250 DJNZ R2,$ DJNZ R1,LOOP2 DJNZ R0,LOOP1 SJMP AGAIN END 六:实验步骤: 1.建立一个工程项目选择芯片确定选项 如图1-1所示:①Project→②New Project→③输入工程名test→④保存工程文件(鼠标点击保存按钮)

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

微机原理及应用实验(题目)

微机原理及应用实验 实验一开发环境的使用 一、实验目的 掌握伟福开发环境的使用方法,包括源程序的输入、汇编、修改;工作寄存器内容的查看、修改;内部、外部RAM内容的查看、修改;PSW中个状态位的查看;机器码的查看;程序的各种运行方式,如单步执行、连续执行,断点的设置。二、实验内容 在伟福开发环境中编辑、汇编、执行一段汇编语言程序,把单片机片内的 30H~7FH 单元清零。 三、实验设备 PC机一台。 四、实验步骤 用连续或者单步的方式运行程序,检查30H-7FH 执行前后的内容变化。五、实验思考 1.如果需把30H-7FH 的内容改为55H,如何修改程序? 2.如何把128B的用户RAM全部清零? 六、程序清单 文件名称:CLEAR.ASM ORG 0000H CLEAR: MOV R0,#30H ;30H 送R0寄存器 MOV R6,#50H ;50H 送R6寄存器(用作计数器) CLR1: MOV A,#00H ;00 送累加器A MOV @R0,A ;00 送到30H-7FH 单元 INC R0 ;R0 加1 DJNZ R6,CLR1 ;不到50H个字节,继续 WAIT: LJMP WAIT END 实验二数据传送 一、实验目的 掌握MCS-51指令系统中的数据传送类指令的应用,通过实验,切实掌握数据传送类指令的各种不同的寻址方式的应用。 二、实验内容 1.编制一段程序,要求程序中包含7中不同寻址方式。 2.编制一段程序,将片内RAM30H~32H中的数据传送到片内RAM38H~3AH中。 3.编制一段程序,将片内RAM30H~32H中的数据传送到片外RAM1000H~1002H 中。 4.编制一段程序,将片内RAM40H~42H中的数据与片外RAM2000H~2002H中的数据互换。 三、实验设备 PC机一台。

微机原理与接口技术实验报告

微机原理与接口技术实验报告

2

3

实验一:数据传送 实验学时:2 实验类型:验证 实验要求:必修 一.实验目的 1.学习程序设计的基本方法和技能,掌握用汇编语言设计、编写、调试和运行程序的方法; 学习用全屏幕编辑软件QEDIT.EXE建立源程序(.ASM文件); 学习用汇编软件MASM.EXE对源文件汇编产生目标文件(.OBJ文件); 学习用连接程序LINK.EXE对目标文件产生可执行文件(.EXE文件); 学习用调试软件TD.EXE调试可执行文件; 2.掌握各种寻址方法以及简单指令的执行过程。 二.实验器材 PC机 三.实验组织运行要求 1.利用堆栈实现AX的内容与BX的内容进行交换。堆栈指针SP=2000H,AX=3000H,BX=5000H; 2.汇编、调试、观察、记录结果; ⑴用QEDIT.EXE软件输入汇编语言源程序,以.ASM格式文件存盘; ⑵用MASM对源程序进行汇编产生二进制目标文件(.OBJ文件),再用连接程序LINK产生可执行文件(.EXE文件); ⑶用调试软件TD调试、运行程序,观察、记录结果。 四.实验步骤 1.进入子目录E:>\SY86后,利用QEDIT.EXE(简称Q)送入以下汇编语言源程序,并以M1.ASM文件存盘 ⑴汇编语言程序的上机过程 ①进入\SY86子目录 E:>CD\SY86 E:\SY86> ②进入QEDIT.EXE 编辑界面 E:\SY86> Q ③输入文件名*.ASM(如M1.ASM)后,输入源程序 源程序 DATA SEGMENT PARA PUBLIC’DATA’ ;数据段定义 DB 512 DUP(0) DATA ENDS STACK SEGMENT PARA STACK’STACK’ ;堆栈段定义 DB 512 DUP( ?) 4

微机接口技术实验指导书

《微机接口技术》实验指导书 主编李建波 主审黄忠宇、苏显 广东机电职业技术学院 计算机与信息工程系

前言 本实验指导书适用于机电一体化专业,实验时间10学时,5次上机时间。 主要学习内容为80X86语言实验环境配置、汇编源语言格式、输出字符、循环结构、子程序调用,以及加减乘除等指令操作。 学习结束后,要求学生能够独立编写出综合加减乘除等指令,以及循环结构、子程序调用等程序控制程序。

目录 实验项目一熟悉微机实验环境 (4) 实验项目二掌握中断方式显示数字或字符 (6) 实验项目三掌握汇编语言的寻址方式 (8) 实验项目四掌握循环指令的用法 (10) 实验项目五掌握子程序的用法 (12)

实验报告一熟悉微机实验环境 1、实验目的 1、熟悉微机实验环境安装 2、熟悉微机实验环境配置 3、通过练习加法,熟悉程序格式 4、单步运行程序,通过观察窗口观察指令对寄存器中数据的影响 2、实验步骤 1)软件安装 (拷贝三个文件夹) 复制:桌面| 网上邻居\ Techer\ c盘\ wave、comp86和in8088三个文件夹拷贝:将三个文件夹到自己计算机上C:盘根目录下 在资源管理器下可以看到:C:\wave,C:\comp86,C:\in8088三个文件夹2)通过资源管理器,进入汇编环境 C:\wave\Bin\wave.exe ,双击wave.exe 3)打开文件我的电脑 \ c: \ wave \ bin \ wave.exe环境配置(如下图) 选中菜单栏中“仿真器”——“仿真器设置”选项(如下图) a、选中“语言”一栏,编译器路径中填写: C:\COMP86\(如下图) b、选中“仿真器”一栏, 选择仿真器—————G6W(如下图) 选择仿真头—————8088/8086实验(如下图) 选择CPU —————8088/8086(如下图) 使用软件模拟器:打√表示软件实验,硬件实验则无√ 4)新建文件 a、选中菜单栏\文件\新建文件,建立空白文件 b、编辑文件,输入以下代码

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

微机原理及应用实验

实验报告1 实验项目名称:I/O地址译码;简单并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握I/O地址译码电路的工作原理,简单并行接口的工作原理及使用方法。 二、预备知识: 输入、输出接口的基本概念,接口芯片的(端口)地址分配原则,了解译码器工作原理及相应逻辑表达式,熟悉所用集成电路的引线位置及各引线用途;74LS245、74LS373的特性及作用。 三、实验内容245输入373输出 使用Protues仿真软件制作如下电路图,使用EMU8086编译软件编译源程序,生成可执行文件(nn . exe),在Protues仿真软件中加载程序并运行,分析结果。 编程实现:读8个开关的状态,根据输入信号控制8个发光二极管的亮灭。 图1-1 245输入373输出 四、程序清单

五、实验结果 六、结果分析 七、思考题: 1、如果用74LS373作输入接口,是否可行?说明原因;用74LS245作输出接口,是否可行?说明原因。

实验报告2 实验项目名称:可编程定时器/计数器;可编程并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握8253的基本工作原理和编程应用方法。掌握8255的工作原理及使用方法。 二、预备知识: 8253的结构、引脚、控制字,工作方式及各种方式的初始化编程及应用。 8255的内部结构、引脚、编程控制字,工作方式0、1、2的区别,各种方式的初始化编程及应用。 三、实验内容: ⑴8253输出方波 利用8253的通道0和通道1,设计产生频率为1Hz的方波。设通道0的输入时钟频率为2MHz,8253的端口地址为40H,42H,44H,46H。通道0的输入时钟周期0.5μs,其最大定时时间为:0.5μs×65536 = 32.768ms,要产生频率为1Hz(周期= 1s)的方波,利用;一个通道无法实现。可用多个通道级连的方法,将通道0的输出OUT0作通道1的输入时钟信号。设通道0工作在方式2(频率发生器),输出脉冲周期= 10 ms,则通道0的计数值为20000(16位二进制)。周期为4 ms的脉冲作通道1的输入时钟,要求输出端OUT1输出方波且周期为1s,则通道1工作在方式3(方波发生器),计数值为100(8位;二进制)。硬件连接如图2-1。

微机原理与接口技术李珍香版十个实验程序说课讲解

微机原理与接口技术李珍香版十个实验程 序

实验一 1.实验目的:掌握汇编语言源程序的结构。 2.实验内容:显示一串字符串“hellow world! 班级,学号”。3.实验代码: DATA SEGMENT MS DB ' Hello,World!$' NS DB ' 151044A,151044106$' DATA ENDS STACK SEGMENT STACK DW 50 DUP(?) STACK ENDS CODE SEGMENT ASSUME DS:DATA,CS:CODE:CODE,SS:STACK START:MOV AX,DATA MOV DS,AX MOV DX,OFFSET MS MOV AH,9 INT 21H MOV AX,DATA MOV DS,AX

MOV DX,OFFSET NS MOV AH,9 INT 21H MOV AH,4CH INT 21H CODE ENDS END START 4.实验结果:

实验二 1.实验目的:熟悉汇编语言源程序的编辑、汇编、连接、调试 过程 2.实验内容:两个8位16进制数相加(生日+当天日期) 3.实验代码: DATA SEGMENT SR DD 19970925H RQ DD 20171111H DATA ENDS STACK SEGMENT STACK DB 50 DUP(0) STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK START:MOV AX,DATA MOV DS,AX MOV AX,WORD PTR SR ADD AX,WORD PTR RQ

微机原理及接口技术实验指导书

微机原理及接口技术实验指导书 目录 第一章实验平台的硬件............................................................................................... I 第一节硬件结构及其特点 (1) 第二节硬件电路原理与资源配置 (2) 第二章实验平台的软件 (11) 第一节实验程序开发集成环境 (11) 第二节实验程序开发工具 (12) 第三节硬件故障诊断软件 (12) 第四节接口演示实验程序 (13) 第五节硬件系统的安装与使用 (14) 第三章DOS环境下的汇编语言上机过程 (15) 第四章基本接口实验 (19) 第一节并行接口实验(8255芯片实验) (19) 实验一步进电机控制接口实验 (19) 实验二声-光报警器接口实验 (23) 第二节定时/计数实验(8253芯片实验) (26) 实验三音乐发生器接口实验 (27) 实验四波特率时钟发生器实验 (30) 第三节串行通信接口实验(8251芯片实验) (33) 实验五RS-232标准全双工查询方式异步串行通信实验 (33) 实验六RS-485标准半双工中断方式异步串行通信实验 (36) 第四节A/D,D/A转换器接口实验 (36) 实验七A/D转换器接口实验 (38) 实验八D/A转换器接口实验 (41) 实验九8259应用实验—中断方式控制彩灯闪亮 (42) 第五节DMA接口实验 (46) 实验十8237A DMAC应用实验 (47)

第一章实验平台的硬件 第一节硬件结构及其特点 一、硬件结构 图1.1.1显示了MFID多功能接口实验平台(简称MFID)在PCI多总线微机系统中的位置。MFID由MFID PCI驱动板、平台板实验区和可以添加的面包板实验区三大部分构成。 图1.1.1 MFID在PCI总线中的位置 二、硬件特点 MFID总的特征有两个,其一,适用于PCI总线;其二,采用模块化开放式结构,整个平台的硬件资源全部向用户开放。除了可以作为多门微机课程的实验平台外,还是基于微机应用系统的开发平台。 1.MFID PCI驱动板特点 ?兼容PCI规范2.1版/2.2版 ?在Local Bus侧提供了16/32位地址线,32位数据线以及存储器读写、I/O读写等 控制信号线 ?在板CPLD使系统的逻辑更为灵活 ?在板提供32Kb×8 SRAM ?提供PCI总线模式下Local Bus侧多路中断源的识别方法 2.MFID平台板特点 ?实验内容丰富,提供并、串、ADA、定时计数和SRAM五大类接口 ?先进的短路隔离保护系统,确保实验系统安全可靠和“零等待恢复”

80x86微机原理与接口技术实验指导书

80x86微机原理与接口技术 实验指导书 长安大学信息工程学院电子信息与通信工程实验室

第1章 80X86 微机原理及其程序设计实验 本章主要介绍汇编语言程序设计,通过实验来学习80X86 的指令系统、寻址方式以及程序的设计方法,同时掌握联机软件的使用。 1.1 系统认识实验 1.1.1 实验目的 掌握TD-PITE 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 1.1.2 实验设备 PC机一台,TD-PITE 实验装置一套。 1.1.3 实验内容 编写实验程序,将00H~0FH 共16 个数写入内存3000H 开始的连续16 个存储单元中。 1.1.4 实验步骤 1. 运行Wmd86 软件,进入Wmd86 集成开发环境。 2. 根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1-1 语言环境选择界面 3. 语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-1-2所示。默认文件名为Wmd861。 图1-1-2 新建文件界面 4. 编写实验程序,如图1-1-3所示,并保存,此时系统会提示输入新的文件名,输完后

点击保存。 图1-1-3 程序编辑界面 5. 点击,编译文件,若程序编译无误,则输出如图1-1-4所示的输出信息,然后再点击进行链接,链接无误输出如图1-1-5所示的输出信息。 图1-1-4 编译输出信息界面图1-1-5 链接输出信息界面 6. 连接PC与实验系统的通讯电缆,打开实验系统电源。 7. 编译、链接都正确并且上下位机通讯成功后,就可以下载程序,联机调试了。可以通过端口列表中的“端口测试”来检查通讯是否正常。点击下载程序。为编译、链 接、下载组合按钮,通过该按钮可以将编译、链接、下载一次完成。下载成功后,在输出区的结果窗中会显示“加载成功!”,表示程序已正确下载。起始运行语句下会有一条绿色的背景。如图1-1-6所示。

微机原理及应用实验报告81487

实 验 报 告 课 程 名 称 实 验 名 称 实 验 日 期 学 生 专 业 学 生 学 号 学 生 姓 名 教 师 姓 名 成 绩 南京理工大学机械工程学院 微机原理及应用 实验1 DEBUG 操作实验 实验2 汇编程序设计实验 实验3 8253定时/计数器实验 实验4 8255 并行接口实验 年 月 日 至 年 月 日

实验一DEBUG命令及其操作 一、实验目的 1.熟练掌握debug的常用命令,学会用debug来调试程序。 2.深入了解数据在存储器中的存取方法及堆栈中数据的压入与弹出。 3.掌握各种寻址方法以及简单指令的执行过程。 二、实验要求 1.实验前要作好充分准备,包括汇编程序清单、调试步骤、调试方法以及对程序结果的分析等。 2.本实验要求在PC机上进行。 3.本实验只要求在DEBUG调试状态下进行,包括汇编程序,调试程序,执行程序。三、实验内容 ●实验内容1:学习用DEBUG调试工具进行源程序的输入、汇编、调试和执行。 实验报告要求: 1.写出运行结果; 2.小结debug常用命令及使用体会 答:1.原文已给出(略) 2.a 汇编 d显示内存单元内容 e修改单元内存内容 g执行命令 t单步(或多步)调试 n指定文件路径文件名(含扩展名) u反汇编 r查看寄存器值及修改 l加载程序 w写盘命令 体会:提升学习热情和动手能力有助于理解代码执行过程 ●实验内容2 设AX=3000H,BX=5000H,请编一程序段将AX的内容和BX的内容进行交换。请用堆栈作为两寄存器交换内容的中间存储单元,用DEBUG调试程序进行汇编与调试。 实验报告要求: 1.写出完成上述功能的程序段; 2.单步执行,并记录寄存器AX, BX及堆栈指针SP的值 答: A100 Mov ax,3000 ;ax:3000 bx:0000 sp:ffee Mov bx,5000 ;ax:3000 bx:5000 sp:ffee Push ax ;ax:3000 bx:5000 sp:ffec

微机原理与接口技术实验指导书1_[1]...

微机原理与接口技术实验指导书 编者:王亭岭 华北水利水电学院 电气学院自动化教研室 二零一二年三月

目录 实验一标志寄存器应用 (1) 实验二指令寻址方式练习 (4) 实验三分支结构程序设计 (6) 实验四循环结构程序设计 (7) 实验五子程序结构程序设计 (8) 实验六DOS系统功能调用程序设计 (10) 实验七BIOS中断调用程序设计 (12) 实验八定时器中断程序设计 (14)

实验一标志寄存器应用 一、实验目的与要求 1.掌握汇编程序的编译过程; 2.掌握8086的标志寄存器的特点。 二、实验内容 微型计算机(80x86系列)。 四、实验参考程序 DATA SEGMENT A DW 123 B DW 456 SUM DW ? DATA ENDS CODE SEGMENT ASSUME CS:CODE , DS:DATA START: MOV AX , DATA MOV DS , AX MOV AX , A SUB AX , B MOV SUM , AX MOV AH,4CH INT 21H CODE ENDS END START 五、实验步骤 步骤一、用鼠标单击开始菜单,单击运行。如下图所示:

步骤二、在运行窗口中键入cmd,回车进入命令提示符。如下图所示: 步骤三、在命令提示符中编译汇编源程序并连接*.obj文件。如下图所示:1.C:\>D: 回车 2.D:\ >CD MASM 回车 3.D:\MASM>MASM SY1.ASM 回车 4.D:\MASM>LINK SY1.OBJ 回车

步骤四、在命令提示行中运行并调试汇编程序。如下图所示:1.D:\MASM>SY1.EXE 回车 2.D:\MASM>DEBUG SY1.EXE 回车 六、实验结论 1.程序运行后变量SUM的值是多少? 2.程序运行前后标志寄存器的各标志位有何变化? 3.分析实验结果及所遇到的问题,并说明解决的方法。

《微机原理与接口技术》课程实验指导书讲解

《微机原理与接口技术》课程实验指导书 一、课程的目的、任务 本课程是电子科学、测控技术专业学生在学习微机原理课程间的一门实践性技术基础课程,其目的在于通过实验使学生能更好地理解和掌握基本微机原理,培养学生理论联系实际的学风和科学态度,提高学生的硬件操作实验技能和分析处理实际问题的能力。为后续课程的学习打下基础。 二、课程的教学内容与要求 三.各实验具体要求 见P2 四、实验流程介绍 学生用户登陆进入实验系统的用户名为:学号(如D205001200XX),密码:netlab 详细操作步骤见P4 五、实验报告 请各指导老师登陆该实验系统了解具体实验方法,并指导学生完成实验。学生结束实验后应完成相应的实验报告并交给指导老师。其中实验报告的主要内容包括:实验目的,实验内容,实验记录数据,数据分析与处理等。

实验一基本操作 一、实验目的 1.熟悉Netlab MCS-51单片机实验系统的设置和使用方法 2.掌握基本MCS-51软件的编写、修改和编译的方法 3. 熟悉在Netlab MCS-51单片机实验系统环境下进行软件调试的方法 4. 学会检查、设置片内片外存储器和寄存器的内容,丰富软件调试的手段 二、实验内容 1. 输入参考程序,存储、编译该程序 2. 在进行实验前,设置程序中需要的初值 三、参考程序 从内部RAM 20H单元开始存放一组带符号数,字节个数存在1FH中。请统计出其中大于0、等于0和小于0的数的数目,并把统计结果分别放入one、two和three三单元。 ONE EQU30H TWO EQU31H THREE EQU32H ORG0000H AJMP MAIN ;MAIN starts from 0030H ORG0030H MAIN: MOV1FH ,#03H ;Init the data that you wants to process MOV20H ,#00H MOV21H ,#01H MOV22H ,#0FFH MOV R0,#20H ;Init the data that you wants to process MOV ONE,#00H MOV TWO,#00H MOV THREE,#00H LOOP: MOV A,@R0 JZ ZERO JB ACC.7 ,NEG INC ONE SJMP CHK ZERO: INC TWO SJMP CHK NEG: INC THREE CHK: INC R0 DJNZ1FH ,LOOP HERE: NOP END 实验二程序设计实验 一、实验目的 1. 进一步熟悉指令系统,提高编程能力 2. 掌握算术运算、数制转换、极值查找、检索、数据块排序和转移等程序的设计方法 3. 熟悉循环程序、子程序、分支程序的编写和调试方法 二、实验内容 用汇编语言在编译器中编写以下小程序,实现相应的功能。(参考程序在实验帮助中已给出) 1. 算术运算:三字节无符号数相加,被加数在内部RAM 20H~22H单元(低位在低地址),加数在内部RAM 2AH~2CH,结果存放在20H~23H单元。 2. 数制转换:编写将四位十六进制数转换为ASCII码的程序。假定十六进制数存放在内部RAM OP1单元开始的区域中,转换得到的ASCII码存放在内部RAM OP2单元开始的区域中。

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

微机原理实验指导书

微机原理实验指导书汕头大学机电系摘录

实验一P1口实验及延时子程序设计 1.实验目的 利用单片机的P1口作为I/O口进行实验验证,掌握利用P1口作为输入口和输出口的编程方法,理解并掌握延时子程序的设计方法。 2.实验设备及器件 IBM PC机一台 DP-51PRO单片机综合仿真实验仪一台 3.实验内容 (1)编写一段程序,用P1口作为控制端口,使D1区的LED轮流点亮。 (2)编写一段程序,用P1.0~P1.6口控制LED,P1.7控制LED的亮和灭(P1.7接按键,按下时LED 亮,不按时LED灭)。 图 1 4.实验要求 学会使用单片机的P1口作I/O口。如果时间充裕,也可以考虑利用P3口作I/O口来做该实验。 5.实验步骤 ①用导线把A2区的J61接口与D1区的J52接口相连。原理如图1所示。 ②先编写一个延时程序。 ③将LED轮流点亮的程序编写完整并调试运行。 ④使用导线把A2区J61接口的P1.0~P1.6与D1区J52接口的LED1~LED7相连,另外A2区J61接口的P1.7与D1区J53的KEY1相连。原理如图3.2(b)所示。 ⑤编写P1.7控制LED的程序,并调试运行(按下K1看是否全亮)。 ⑥A2区J61接口P1.7与D1区J54的SW1相连,然后再运行程序,拨动开关SW1查看结果。

6.实验预习要求 阅读附录内容,理解实验的硬件结构。可以先把程序编好,然后在Keil C51环境下进行软件仿真。 7.实验参考程序 程序1: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP M ain ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main: MOV A,#0FFH CLR C MainLoop: CALL Delay RLC A MOV P1,A ;把A的值输出到P1口 SJMP MainLoop Delay: MOV R7, #0 ;延时 Loop: MOV R6, #0 DJNZ R6, $ DJNZ R6, $ DJNZ R6, $ DJNZ R7, Loop RET ; END 程序2: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP Main ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main:JB P1.7,SETLED ;按键没有按下时,跳转到SETLED CLRLED: CLR P1.0 CLR P1.1 CLR P1.2 CLR P1.3 CLR P1.4 CLR P1.5 CLR P1.6 SJMP Main SETLED: SETB P1.0 SETB P1.1

相关文档
相关文档 最新文档