文档库 最新最全的文档下载
当前位置:文档库 › 北邮微原硬件实验

北邮微原硬件实验

北邮微原硬件实验
北邮微原硬件实验

信息与通信工程学院

微原硬件实验报告

姓名:

班级:

学号:

班内序号:

【一.基本的I/O实验】

实验一 I/O地址译码

一、实验目的

掌握I/O地址译码电路的工作原理。

二、实验原理和内容

1、实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台

上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:

280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。

例如:执行下面两条指令

MOV DX,2A0H

OUT DX,AL(或IN AL,DX)

Y4输出一个负脉冲,执行下面两条指令

MOV DX,2A8H

OUT DX,AL(或IN AL,DX)

Y5输出一个负脉冲。

图1-1

利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。

2、接线: Y4/IO地址接 CLK/D触发器

Y5/IO地址接 CD/D触发器

D/D触发器接 SD/D角发器接 +5V

Q/D触发器接 L7(LED灯)或逻辑笔

三、硬件接线图及软件程序流程图

1.硬件接线图

2.软件程序流程图

四、源程序

DATA SEGMENT

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100H DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK ;基本框架;延时子程序

DELAY1 PROC NEAR

MOV BX,500H

PUSH CX

LOOP2: MOV CX,0FFFH

WAIT1: LOOP WAIT1

DEC BX

JNZ LOOP2

POP CX

RET

DELAY1 ENDP

START: MOV CX,0FFFFH ;L7闪烁控制

LOOP1: MOV DX,2A0H ;灯亮

OUT DX,AL

CALL DELAY1

MOV DX,2A8H ;灯灭

OUT DX,AL

CALL DELAY1

LOOP LOOP1 ;循环闪烁

CODE ENDS

END START

五、实验结果

灯L7闪烁

实验二简单并行接口

一、实验目的

掌握简单并行接口的工作原理及使用方法。(选择273进行实验)二、实验原理和内容

1、按下面图1-2简单并行输出接口电路图连接线路(74LS273插通用插座,

74LS32用实验台上的“或门”)。74LS273为八D触发器,8个D输入端分别接数据总线D0~D7,8个Q输出端接LED显示电路L0~L7。

2、编程从键盘输入一个字符或数字,将其ASCⅡ码通过这个输出接口输

出,根据8个发光二极管发光情况验证正确性。

3、接线:按图1-2-1接线(图中虚线为实验所需接线,74LS32为实验台

逻辑或门)

图1-2

三、硬件接线图及软件程序流程图

1.硬件接线图

2.软件程序流程图

四、源程序

DATA SEGMENT

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK ;基本框架

START: MOV AH,1 ;键盘输入

INT 21H

CMP AL,27 ;判断是否为ESC键

JZ EXIT

MOV DX,2A8H

OUT DX,AL ;输出

JMP START

EXIT: MOV DX,2A8H ;返回DOS

MOV AL,0

OUT DX,AL ;所有灯灭

MOV AX,4C00H

INT 21H

CODE ENDS

END START

五、实验结果

8个灯代表8位ASCII码,灯亮代表‘1’,灯灭代表‘0’。当从键盘输入字母或字符时,8个灯显示与输入对应的ASCII码,按下ESC键则所有灯灭。

六、实验总结

接线时注意各个端口名称不要接错,接线完成之后可以用HQFC中的演示实验验证接线是否正确。实验一中需要加入合理的延时子程序来实现灯的亮灭交替。

七、实验收获与心得体会

第一次微原硬件实验在参考讲义和询问老师的情况下了解到了基础的硬件试验箱操作方法,学会了通过电脑软件编写控制试验箱的简单步骤以及相关注意事项。

【二.可编程并行接口8255实验】

实验三可编程并行接口8255

实验四七段数码管

一、实验目的

实验三:通过实验,掌握8255工作于方式0以及设置A口为输出口,C 口为输入口的方法。

实验四:掌握数码管显示数字的原理。

二、实验原理和内容

实验三:

1、实验电路如图2-1,8255C口接逻辑电平开关K0~K7,A口接LED显示

电路L0~L7。

2、编程从8255C口输入数据,再从A口输出。

图2-1

实验四:

静态显示:按图2-2连接好电路,将8255的A口PA0~PA7分别与七段数码管的段码驱动输入端a~dp相连,位码驱动输入端S0、S1 、S2、S3接PC0、PC1、PC2、PC3,编程在数码管显示学号的后四位0210。

三、硬件接线图及软件程序流程图

1.硬件接线图

实验三

实验四

2.软件程序流程图

实验三

实验四

四、源程序(仅实验三代码)

DATA SEGMENT

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK ;基本框架

START: MOV AX,DATA

MOV DS,AX

MOV DX,283H ;8255控制寄存器端口地址283H MOV AL,B ;工作方式为0

OUT DX,AL ;初始化8255

DIGITAL: MOV DX,28AH ;熄灭数码管

MOV AL,00H

OUT DX,AL

MOV DX,288H ;A口显示0

MOV AL,3FH

OUT DX,AL

MOV DX,28AH ;C口00000001(位码)

MOV AL,01H

OUT DX,AL

MOV DX,28AH ;熄灭数码管

MOV AL,00H

OUT DX,AL

MOV DX,288H ;A口显示2

MOV AL,06H

OUT DX,AL

MOV DX,28AH ;C口00000010(位码)

MOV AL,02H

OUT DX,AL

MOV DX,28AH ;熄灭数码管

MOV AL,00H

OUT DX,AL

MOV DX,288H ;A口显示1

MOV AL,5BH

OUT DX,AL

MOV DX,28AH

MOV AL,04H ;C口00000100(位码)

OUT DX,AL

MOV DX,28AH ;熄灭数码管

MOV AL,00H

OUT DX,AL

MOV DX,288H ;A口显示0

MOV AL,3FH

OUT DX,AL

MOV DX,28AH

MOV AL,08H ;C口00001000(位码)

OUT DX,AL

MOV DX,28AH ;熄灭数码管

MOV AL,00H

OUT DX,AL

MOV AH,01H

INT 16H

JNZ EXIT ;有键盘输入,退出

JMP DIGITAL

EXIT: MOV AX,4C00H

INT 21H

CODE ENDS

END START

五、实验结果

数码管显示了学号的后四位“0210”如图所示。当键盘有按键输入时退出,数码管灭。

六、实验总结

本次实验中控制数码管显示的主要有两个端口,A口和C口,C口控制哪一路数码管亮,A口控制一路数码管亮什么数字;工作方式的选择如下图所示,D7=1表示控制寄存器中存放的是工作方式选择字,工作在方式0,

A、C均为输出,B口不使用,故AL为B或B均可。

七、实验收获与心得体会

初步了解可编程并行接口8255的简单应用;在实验三中8255的工作方式选择字非常重要,要弄清A、C口为输入还是输出,其次在代码中设计按

键退出模块,可以使得运行更加可靠。

学习了控制数码管显示的方法,即通过两路控制,一路负责扫描决定哪一路显示,一路负责决定显示什么数字。这种思路与数电实验中VHDL语言控制数码管有异曲同工之处,让我体会到了编程思想的相同之处。

【三.可编程定时器/计数8253实验】实验八可编程定时器/计数器(8253/8254)

一、实验目的

学习掌握8253用作定时器的编程原理;

二、实验原理和内容

1.完成一个音乐发生器,通过喇叭或蜂鸣器放出音乐,并在数码管上显示乐谱。

2.扩展部分:利用小键盘实现弹琴功能,并显示弹奏的乐谱。

注意:8253输入频率应小于2MHz。

三、硬件接线图及软件程序流程图

1.硬件接线图

2.软件程序流程图

四、源程序

DATA SEGMENT

FENPIN DW 0001H,3906,3472,3125,2932,2604,2344,2083,1953;

分频比

DIGITAL DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH;数码管

MUSIC DB 0,3,2,1,2,3,3,3,0,2,2,2,0,3,5,5,0,3,2,1,2,3,3,3,1,2,2,3,2,1,0,5

,0,1,0 ;存放播放的乐曲音符

NUM DB 00H,070H,0B0H,0D0H,0E0H ;检测键盘输入(00h=00000000;070h=01110000;0b0h=;0d0h=;0e0h=)

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

;延时子程序1

DELAY PROC NEAR

PUSH CX

MOV CX,100H

WAIT0: LOOP WAIT0

POP CX

RET

DELAY ENDP

;延时子程序2

DELAY1 PROC NEAR

PUSH CX

MOV CX,0FFFFH

WAIT1: LOOP WAIT1

POP CX

RET

DELAY1 ENDP

;获取键盘输入值的子程序

KEY PROC NEAR

PUSH AX ;保护现场

PUSH CX

PUSH DX

MOV CX,00H ;从第一行开始扫描

CHECK: MOV DX,28AH ;C口地址给DX

MOV BX,OFFSET NUM

ADD BX,CX

MOV AL,[BX]

OUT DX,AL

;防抖

IN AL,DX ;判断是否有键盘按下

MOV AH,AL

CALL DELAY

IN AL,DX

CMP AL,AH

JNZ CHECK ;不相等说明为抖动,重新检测

;判断按下的列

AND AL,0FH

CMP AL,0FH

JZ NEXT

CMP AL,0EH

JZ NEXT1

CMP AL,0DH

JZ NEXT2

CMP AL,0BH

JZ NEXT3

MOV BX,01H

JMP GOT

NEXT: INC CX

CMP CX,05H

JNZ JUMP1

MOV CX,01H ;修改变量扫描下一行

JUMP1: JMP CHECK

NEXT1: MOV BX,04H

JMP GOT

NEXT2: MOV BX,03H

JMP GOT

NEXT3: MOV BX,02H

;计算按下键盘的数值

GOT: SUB CX,01H

MOV AL,CL

MOV DL,04H

MUL DL

ADD BL,AL

SUB BL,01H ;此时BX中所存即为对应的偏移量 POP DX ;恢复现场

POP CX

POP AX

RET

KEY ENDP

;主程序

START: MOV AX,DATA

MOV DS,AX

;8253初始化

MOV DX,283H

MOV AL,36H

OUT DX,AL

;8255初始化

MOV DX,28BH

MOV AL,81H ;C口输入

OUT DX,AL

MOV DX,289H ;B口位选数码管

MOV AL,01H

OUT DX,AL

;扫描键盘

LOOP1: CALL KEY

CMP BX,0 ;按0播放音乐

JZ PLAY0

CMP BX,9 ;按9退出

JZ EXIT

;按1~8发出对应音

MOV CX,BX

MOV BX,OFFSET DIGITAL ;数码管显示音符 ADD BX,CX

MOV AL,[BX]

MOV DX,288H ;A口输出

OUT DX,AL

;播放该音符

MOV BX,OFFSET FENPIN

MOV AX,CX

ADD AX,AX

ADD BX,AX

;计数,先低八位后高八位

MOV AX,[BX]

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

CALL DELAY1

CALL DELAY1

MOV DX,28AH ;C口输入

IN AL,DX

;检测键盘是否弹起

MOV AH,AL

LOOP2: CALL DELAY

IN AL,DX

CMP AL,AH

JZ LOOP2

;初始化8253,停止播放音乐

MOV AX,0H

MOV DX,283H

MOV AL,36H

OUT DX,AL

JMP LOOP1

;播放音乐

PLAY0: MOV CX,01H

PLAY: PUSH CX

;读取音符,存于CX中

MOV BX,OFFSET MUSIC

ADD BX,CX

MOV AL,[BX]

MOV CL,AL

MOV CH,0H

;数码管显示

MOV BX,OFFSET DIGITAL

ADD BX,CX

MOV AL,[BX]

MOV DX,288H

OUT DX,AL

;播放该乐符

MOV BX,OFFSET FENPIN

MOV AX,CX

ADD AX,AX

ADD BX,AX

;计数,先低八位后高八位

MOV AX,[BX]

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

POP CX

;延时,持续播放

MOV AX,90H

LOOP3: CALL DELAY1

DEC AX

JNZ LOOP3

;乐曲未结束时,CX加1

INC CX

CMP CX,28H;共40个音符

JNZ JUM

JMP LOOP1

JUM: JMP PLAY

EXIT: MOV AL,0

MOV DX,288H

OUT DX,AL

MOV AX,4C00H

INT 21H

CODE ENDS

END START

五、实验结果

1.按小键盘的0,播放预置音乐,数码管显示音符对应的数字1~8;

2.按小键盘的1~8,分别发出do,re,mi,fa,so,la,si,高音do,数码管

显示按下的音符对应的1~8数字;

3.按小键盘的9,数码管熄灭,放音停止,返回dos;

六、实验总结

1.在开始用MUSIC存乐谱实现了代码的多用性,可以直接在开头改变对

应数字来实现不同乐曲的演奏;

2.开头用DIGITAL存数码管需要显示的0~8数字,数码管显示原理参考

实验三和四,不同点在于8255中C口为输入,B口位选数码管仅第一

路亮;

3.实验难点主要在小键盘与数码管,8254的连接控制。获得键盘输入值

的子程序参考了实验五的键盘扫描,其原理为先给第一行一个低电平,

然后检测哪一列为低电平如第三列,则为坐标(1,3)的按键被按下。

若所有列均无低电平则给第二行低电平重复上述检测;

4.在代码中需加入延时程序以保证音乐播放的准确性;

5.按键要加入防抖程序。原理为检测按键是否达到一定时长,如果达到

则为按键,否则判断为误触

七、实验收获与心得体会

通过本次试验熟悉了可编程定时器/计时器的使用。通过键盘,8254,数码管的组合实现简单的电子琴功能。在本次试验中只使用了0至9十个按键,有机会可以完善,如不同的按键可以播放不同的乐曲等。此外,本实验中防抖非常重要,第一次连接试验箱试验时没有写入防抖程序导致按键发音不对,后加入按键防抖程序问题得以解决。这让我想到了数电实验用VHDL写打地鼠程序时也需要写防抖程序,体会到了编程的相通性以及编

程中必须要面面俱到才能保证结果的正确稳定。

八、思考题

写出8253计数初值,输入频率和输出频率的关系:

答:输出频率 = 输入频率/8253计数初值

【四.串行通讯接口8251实验】

实验十六串行通讯8251

一、实验目的

1、了解串行通讯的基本原理。

2、掌握串行接口芯片8251的工作原理和编程方法。

二、实验原理和内容

基础功能:

1、按图4-16-1连接好电路,(8251插通用插座)其中8254计数器用于产生

8251的发送和接收时钟,TXD和RXD连在一起。

2、编程: 从键盘输入一个字符,将其ASCII码加 1 后发送出去,再接收回

(或将内存制定区域内存放的一批数据通过8251A的TXD 来在屏幕上显示,

发送出去,然后从RXD接收回来,并在屏幕上或数码管上显示出来。)实

现自发自收。

扩展功能:

双机通信,将发送端用小键盘发送数据,接收端用数码管显示接收的数据。

三、硬件接线图及软件程序流程图

1.硬件接线图(引用讲义)

2.软件程序流程图(引用讲义)

四、源程序

DATA SEGMENT

STRING DB 'TRANSLATE ','$'

STRING1 DB 'RECEIVE ','$'

STRING2 DB 0DH,0AH,'$'

DATA ENDS

STACK SEGMENT STACK 'SATCK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

;延时子程序

DELAY PROC NEAR

PUSH CX

MOV CX,100H

WAIT0: LOOP WAIT0

POP CX

RET

DELAY ENDP

;开始

START: MOV AX,DATA

MOV DS,AX

;8254初始化

MOV DX,283H

MOV AL,16H ;0号计数器,读低字节,方式3 OUT DX,AL

CALL DELAY

MOV DX,280H ;计数器地址为280H,计数初值为52 MOV AL,34H

OUT DX,AL

CALL DELAY

;8251初始化

MOV DX,2B9H ;控制端口

MOV AL,40H ;内部复位命令

OUT DX,AL

NOP

CALL DELAY

MOV AL,5EH ;方式控制字(01011110)

OUT DX,AL

MOV AL,37H ;命令控制字(00110111)

OUT DX,AL

CALL DELAY

;发送数据

GOON: MOV DX,2B9H ;读状态字

IN AL,DX

TEST AL,01H

JZ GOON ;缓冲区为空显示提示语句否则继续检测

;显示提示语句

MOV AH,09H

MOV DX,OFFSET STRING

INT 21H

MOV AH,01H

INT 21H

;检测是否为ESC键

CMP AL,1BH

JZ EXIT

INC AL;加1

MOV DX,2B8H

OUT DX,AL

;接收数据

RECEIVE: MOV DX,2B9H

IN AL,DX

TEST AL,02H

JZ RECEIVE

;显示提示语句

MOV AH,09H

MOV DX,OFFSET STRING2

INT 21H

MOV AH,09H

MOV DX,OFFSET STRING1

INT 21H

MOV DX,2B8H

IN AL,DX

MOV DL,AL

MOV AH,02H ;显示接收的数据

INT 21H

MOV AH,09H

MOV DX,OFFSET STRING2

INT 21H

JMP GOON

EXIT: MOV AX,4C00H

INT 21H

CODE ENDS

END START

五、实验结果

键盘输入后加一发送,在屏幕上显示对应输入字符ASCII码加一后的字符,如:输入a显示b,输入1显示2。按ESC键后退出。

六、实验总结

1.8254初始化选0号计数器,只写读写低8位,选择方式3,计数初值为二进制;

2.方式控制字的确定如下图,异步方式、1个停止位、奇校验位,、8位数据、波特因子为16,故为5EH;

3.命令控制字的确定重点在于接收和发送都允许;

4.接收和发送数据前先检查状态字,看缓冲区是否为空;

5.单机通信中控制字后需要加入延时程序

七、实验收获与心得体会

通过本次试验,让我对8251有了更深的了解。可以将书本上的理论应用到实际,更加充分的理解了芯片的原理及其使用。

本次试验中双机通信的扩展内容调试了很长时间,数码管必须等接收方按键后才可显示,无法做到实时显示故没有贴出代码,希望以后能有机会改进。

通过这四次实验,我在编程、调试、实验的过程中对于汇编语言有了更深的了解,对于微原课本上的原理理论也有了更好的理解。

最后,谢谢老师给予的指导,希望有机会能更多的接触此类实验。八、思考题

在实验中,你如何确定RxC,TxC的值,写出计算公式

答:RXC=TXC=8253输出时钟频率=8251波特率*波特率因子

通信原理硬件实验报告

通信原理硬件实验 姓名: 班内序号: 学号: 联系方式: 指导老师:刘文京 学院:信息与通信工程学院 北京邮电大学 Beijing University of Post and Telecommunications

目录 实验一:双边带抑制载波调幅(DSB-SC AM)---------------4 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验二:具有离散大载波的双边带调幅(AM)--------------12 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验三:调频(FM)------------------------------------16 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 五、思考题 实验四:线路码的编码与解码----------------------------20 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验五:时钟恢复--------------------------------------23 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验六:眼图------------------------------------------25 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验七:采样判决--------------------------------------27 一、实验目的 二、实验系统框图 三、实验步骤 四、实验结果 实验八:二进制通断键控(OOK)-------------------------29 一、实验目的 二、实验系统框图 三、实验步骤

微机原理与接口技术 北邮 软件 实验报告

微机原理与接口技术软件实验报告

实验B 分支、循环程序设计 一、实验目的 1.开始独立进行汇编语言程序设计; 2.掌握基本分支,循环程序设计; 3.掌握最简单的DOS功能调用。 二、实验任务及内容 1.安排一个数据区,内存有若干个正数,负数和零。每类数的个数都不超过9。 2.编写一个程序统计数据区中正数,负数和零的个数。 3.将统计结果在屏幕上显示。 4. 选作题: 统计出正奇数,正偶数,负奇数,负偶数以及零的个数.

四、源程序 DISPSTR MACRO STR ;打出字符串(属于DOS功能调用)MOV AH,9 MOV DX,SEG STR MOV DS,DX MOV DX,OFFSET STR INT 21H ENDM DISPNUM MACRO NUM ;打出数字(属于DOS功能调用)MOV AH,2 MOV DL,NUM ADD DL,30H ;加30H变为ASCII码 INT 21H ENDM DATA SEGMENT NUM DB 3,2,7,0,1,0,-5,-4,0 COUNT EQU $-NUM ;统计数据个数 ZEROS DB 0 ;各类数初值均为0 PLUSES DB 0 MINUSES DB 0 EVENMINUSES DB 0 ODDMINUSES DB 0 EVENPLUSES DB 0 ODDPLUSES DB 0 ZEROSTR DB 0DH,0AH,'ZERO:$' ;待输出字符串

PLUSSTR DB 0DH,0AH,'PLUS:$' MINUSSTR DB 0DH,0AH,'MINUS:$' EVENMINUSSTR DB 0DH,0AH,'EVENMINUS:$' ODDMINUSSTR DB 0DH,0AH,'ODDMINUS:$' EVENPLUSSTR DB 0DH,0AH,'EVENPLUS:$' ODDPLUSSTR DB 0DH,0AH,'ODDPLUS:$' DATA ENDS STACK SEGMENT STACK 'STACK' DB 100 DUP(?) STACK ENDS CODE SEGMENT ASSUME CS:CODE, DS:DATA, SS:STACK START PROC FAR PUSH DS ;初始化 MOV AX,0 PUSH AX MOV AX,DATA MOV DS,AX MOV CX,COUNT ;CX控制循环次数 MOV SI,OFFSET NUM ;SI指向数据的偏移地址 LOOP1: CMP BYTE PTR[SI],0 ;将SI指向的内容与0比较大小JZ ZERO ;等于0跳转 JG PLUS ;大于0跳转 INC MINUSES ;负数加一 SHR BYTE PTR[SI],1 ;判断是负奇数还是负偶数 JNC EVENMINUS ;是负偶数跳转 INC SI ;SI指针后移 INC ODDMINUSES ;负奇数加一 RETURN: LOOP LOOP1 ;循环直至CX=0 JMP DISP ;循环结束后跳转至打出结果 ZERO: INC ZEROS INC SI JMP RETURN ;返回循环体 PLUS: INC PLUSES SHR BYTE PTR[SI],1 JNC EVENPLUS

北邮通信原理实验 基于SYSTEMVIEW通信原理实验报告

北京邮电大学实验报告 题目:基于SYSTEMVIEW通信原理实验报告 班级:2013211124 专业:信息工程 姓名:曹爽 成绩:

目录 实验一:抽样定理 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验原理 (3) 四、实验步骤和结果 (3) 五、实验总结和讨论 (9) 实验二:验证奈奎斯特第一准则 (10) 一、实验目的 (10) 二、实验要求 (10) 三、实验原理 (10) 四、实验步骤和结果 (10) 五、实验总结和讨论 (19) 实验三:16QAM的调制与解调 (20) 一、实验目的 (20) 二、实验要求 (20) 三、实验原理 (20) 四、实验步骤和结果 (21) 五、实验总结和讨论 (33) 心得体会和实验建议 (34)

实验一:抽样定理 一、 实验目的 1. 掌握抽样定理。 2. 通过时域频域波形分析系统性能。 二、 实验要求 改变抽样速率观察信号波形的变化。 三、 实验原理 一个频率限制在0f 的时间连续信号()m t ,如果以0 12S T f 的间隔进行等间隔均匀抽样,则()m t 将被所得到的抽样值完全还原确定。 四、 实验步骤和结果 1. 按照图1.4.1所示连接电路,其中三个信号源设置频率值分别为10Hz 、15Hz 、20Hz ,如图1.4.2所示。 图1.4.1 连接框图

图1.4.2 信号源设置,其余两个频率值设置分别为15和20 2.由于三个信号源最高频率为20Hz,根据奈奎斯特抽样定理,最低抽样频率应 为40Hz,才能恢复出原信号,所以设置抽样脉冲为40Hz,如图1.4.3。 图1.4.3 抽样脉冲设置 3.之后设置低通滤波器,设置数字低通滤波器为巴特沃斯滤波器(其他类型的 低通滤波器也可以,影响不大),截止频率设置为信号源最高频率值20Hz,如图1.4.4。

北邮通原硬件实验报告(DOC)

2013年通信原理硬件实验报告 学院:信息与通信工程学院 班级:2011211104 姓名: 学号: 班内序号: 组号: 同组人:

目录 实验一:双边带抑制载波调幅(DSB-SC AM) (3) 实验二:具有离散大载波的双边带调幅波(AM) (14) 实验三:调频(FM) (21) 实验六:眼图 (28) 实验七:采样,判决 (31) 实验八:二进制通断键控(OOK) (34) 实验十一:信号星座(选作) (41) 实验十二:低通信号的采样与重建 (45)

实验一双边带抑制载波调幅(DSB-SC AM) 一.实验目的 (1)了解DSB-SC AM信号的产生及相干解调的原理和实现方法。 (2)了解DSB-SC AM的信号波形及振幅频谱的特点,并掌握其测量方法。 (3)了解在发送DSB-SC AM信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法。 (4)掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的测试方法。 二.实验器材 PC机一台、TIMS实验平台、示波器、导线等。 三.实验原理 1.双边带抑制载波调幅(DSB-SC AM)信号的产生和表达式 图1.1 2.双边带抑制载波调幅信号的解调 基本思路:利用恢复的载波与信号相乘,将频谱搬移到基带,还原出原基带信号。 图1.2 3.DSB-SC AM信号的产生及相干解调原理框图 ()()()()() cos c c c s t m t c t m t A t ω? ==+

图1.3 四.实验内容及结果 1.DSB-SC AM信号的产生 (1)实验步骤: 图1.4 1.按照上图,将音频振荡器输出的模拟音频信号及主振荡器输出的100KHz模

北邮微原硬件实验

信息与通信工程学院 微原硬件实验报告 姓名: 班级: 学号: 班内序号: 【一.基本的I/O实验】 实验一 I/O地址译码 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验原理和内容 1、实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台 上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:

280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 图1-1 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 2、接线: Y4/IO地址接 CLK/D触发器 Y5/IO地址接 CD/D触发器 D/D触发器接 SD/D角发器接 +5V Q/D触发器接 L7(LED灯)或逻辑笔 三、硬件接线图及软件程序流程图 1.硬件接线图 2.软件程序流程图

四、源程序 DATA SEGMENT DATA ENDS STACK SEGMENT STACK 'STACK' DB 100H DUP(?) STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK ;基本框架;延时子程序 DELAY1 PROC NEAR MOV BX,500H PUSH CX LOOP2: MOV CX,0FFFH WAIT1: LOOP WAIT1 DEC BX JNZ LOOP2 POP CX RET DELAY1 ENDP START: MOV CX,0FFFFH ;L7闪烁控制 LOOP1: MOV DX,2A0H ;灯亮 OUT DX,AL CALL DELAY1 MOV DX,2A8H ;灯灭 OUT DX,AL CALL DELAY1 LOOP LOOP1 ;循环闪烁 CODE ENDS END START 五、实验结果 灯L7闪烁 实验二简单并行接口 一、实验目的 掌握简单并行接口的工作原理及使用方法。(选择273进行实验)二、实验原理和内容

北邮微原硬件实验报告

2013年微机原理硬件实验报告 学院:信息与通信工程学院班级:2011211104 姓名:

实验一 I/O地址译码 一.实验目的 掌握I/O地址译码电路的工作原理。 二.实验原理和内容 1.实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。 译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 原理:地址2A0H的A5,A4,A5为100,在输入或输出时,IOW或I OR为0,使得74LS138被选中,经过译码,在Y4口输出负脉冲。其他同理。 图1-1 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 2.接线:Y4/IO地址接CLK/D触发器

Y5/IO 地址 接 CD/D 触发器 D/D触发器 接 SD/D 角发器 接 +5V Q /D 触发器 接 L7(L ED 灯)或 逻辑笔 三.程序流程图 四.源程序 DA TA ?SE GMENT D ATA ??ENDS ST ACK SE GME NT ST ACK 'STA CK' DB 100H DU P(?) STA CK ENDS 否

北邮微机原理中断程序报告

北京邮电大学实验报告 题目:微机原理软件实验 学院:信息与通信工程 专业:信息工程______ 中断实验报告 一、实验目的 1、初步掌握中断程序的设计方法; 2、初步掌握修改 DOS 系统中断,以适应实际使用的方法。

二:实验要求 编一程序,在显示器上显示时、分、秒。 1:借用计数器8253的Timer0作为中断源,通过8259A下向CPU发中断,每10ms 产生一次中断。 2:在中断服务程序中管理刷新时、分、秒。 3:输入文件名(如:CLK)后清屏显示 Current time is XX:XX:XX(时分秒键盘输入) 打回车,时、分、秒开始计时,时钟不停的刷新。 4:当键入CTRL+C时,停止计时,返回系统,且系统正常运行不死机。 提示: 1、8253的初始化程序段可借用。 2、口地址为40H、41H、42H、43H,控制字为36H=00110110B 3、时间常数TC=11932:1.1932MHz/11932=100Hz,输出方波频率为100Hz,其周期为1000/100=10ms 三:设计思路 这个实验需要用到中断控制器8259A和计数器8253。我们先初始化8253的工作方式,利用工作方式3来计数时间,让其分频后产生100hz的方波,每100个周期即为1s,将这个方波作为中断源,通过8259A每10ms向CPU发出一次中断。然后我们将子程序Timer0的地址(CS以及IP)设置为中断向量,每次中断即执行这个子程序,在这个之程序中编写相应代码,看时间是否到1S,没到则跳出中断,等待下一次(1ms之后)中断到来,到1S则让时间+1并且重置计数值,再加上相应的时间显示程序,这样即可实现时间的自动增加与显示,可以当做一个计时器来用,这即是这个工程的主体部分。 除此之外,还需要一部分程序来实现键盘输入相应时间,这里要注意时间的每一位都有取值方面的要求,这里就要通过一系列的CMP/JMP指令的组合来达到正确输入的效果,将顺序输入的时间存储起来,配合Timer0子程序即可输出当前设置的时间并且实现时间刷新。当然,如果选择不输入时间直接回车的话,程序可以从0开始计时,可以当成一个秒表。 还有一些细节的设计如在计时过程中输入S可以重新设置时间,Ctrl+C可以退出这些也是利用CMP/JMP组合来实现。最后整个程序可以实现以下功能:可以设置开始时间然后自动计时,也可以当做秒表来使用,可以正常退出。 四:实验流程

微波仿真实验报告(北邮)

北京邮电大学 微波仿真实验报告实验名称:微波仿真实验

姓名:刘梦颉 班级:2011211203 学号:2011210960 班内序号:11 日期:2012年12月20日 一、实验目的 1、熟悉支节匹配的匹配原理。 2、了解微带线的工作原理和实际应用。 3、掌握Smith图解法设计微带线匹配网络。 4、掌握ADS,通过SmithChart和Momentum设计电路并仿真出结果。 二、实验要求 1、使用软件:ADS 2、实验通用参数: FR4基片:介电常数为4.4,厚度为1.6mm,损耗角正切为0.02 特性阻抗:50欧姆 3、根据题目要求完成仿真,每题截取1~3张截图。

三、实验过程及结果 第一、二次实验 实验一: 1、实验内容 Linecal的使用(工作频率1GHz) a)计算FR4基片的50欧姆微带线的宽度 b)计算FR4基片的50欧姆共面波导(CPW)的横截面尺寸(中心信号线 宽度与接地板之间的距离) 2、相关截图 (a)根据实验要求设置相应参数

(b)根据实验要求设置相应参数 实验二 1、实验内容 了解ADS Schematic的使用和设置2、相关截图:

打开ADS软件,新建工程,新建Schematic窗口。 在Schematic中的tools中打开lineCalc,可以计算微带线的参数。 3、实验分析 通过在不同的库中可以找到想要的器件,比如理想传输线和微带线器件。在完成电路图后需要先保存电路图,然后仿真。在仿真弹出的图形窗口中,可以绘制Smith图和S参数曲线图。

实验三 1、实验内容 分别用理想传输线和微带传输线在FR4基片上,仿真一段特性阻抗为50欧姆四分之波长开路线的性能参数,工作频率为1GHz。观察Smith圆图变化。 2、相关截图 (1)理想传输线

北邮通原软件实验

实验一 实验目的:假设基带信号为m(t)=sin(2000πt)+2cos(1000πt),载波频率为20kHz,请仿真出AM,DSB-SC,SSB信号,观察已调信号的波形和频谱。 1.AM信号: (1)信号的表达式 (3)流程图 AM信号 s= (1+0.3*m).*cos(2*pi*fc*t); 绘制时域波形及频谱 傅氏变换S= t2f(s,fs) (2)源代码 %AM信号的产生 fs= 800; %采样频率KHz T= 200; %截短时间ms N= T*fs; %采样点数 dt= 1/fs; t= [-T/2:dt:T/2-dt]; df= 1/T; f=[-fs/2:df:fs/2-df]; fm= 1; % kHz fc= 20; % kHz m= sin(2*pi*fm*t)+2*cos(1*fm*pi*t); s= (1+0.3*m).*cos(2*pi*fc*t); %AM 信号 S= t2f(s,fs); figure(1) plot(f,abs(S1)) title('AM信号频谱') xlabel('f') ylabel('S(f)') axis([-25,25,0,max(abs(S1))]); %xset('window',2)figure(2) plot(t,s1) title('AM信号波形') xlabel('t') ylabel('s(t)') axis([-3,3,-3,3]); (4)实验结果

精选文库 -3 -2-1 0123 -3-2 -1 1 2 3 AM 信号波形 t(ms) s (t ) -25 -20 -15 -10 -5 05 10 15 20 25 0102030405060708090 100AM 信号频谱 f(kHz) S (f )

北邮《微机原理与接口技术》阶段作业汇总

《微机原理与接口技术》作业汇总 1.若欲使RESET有效,只要A即可。 A.接通电源或按RESET键 2.8086微处理器中的ES是D寄存器 D.附加数据段 3.8086 微处理器中BP 寄存器是A A.基址指针寄存器 4.8086/8088 微处理器中的BX是A A.基址寄存器 5.8086/8088微处理器顺序执行程序时,当遇到C指令时, 指令队列会自动复位,BIU会接着往指令队列中装入新的程序段指令。 C.JCXZ 6.8086微处理器读总线周期中地址信号AD15~AD0在A 期间处于高阻。A.T2 7.8086/8088 微处理器引脚中B信号线能够反映标志寄 存器中断允许标志IF的当前值。 B.S5 8.访问I/O端口可用地址线有B条。B.16 9.8086/8088 微处理器可访问内存储器地址为A A.00000~FFFFFH 10.字符串操作时目标串逻辑地址只能由B提供 B.ES、DI 11.8086/8088微处理器中堆栈段SS作为段基址,则偏移 量为B。 B.SP 12.若有两个带有符号数ABH和FFH相加,其结果使F 中CF和OF位为C。 C.1;0 13.8086微处理器内部通用寄存器中的指针类寄存器是B。 B.BP 14.8086/8088微处理器内部能够计算出访问内存储器的20位物理地址的附加机构是。B.BIU中的地址加法器15.当标志寄存器TF=1时,微处理器内部每执行完一条 指令便自动进行一次B。 B.内部中断 16.8086/8088微处理器内部寄存器中的累加器是A寄存 器。 A.16位数据寄存器 17.8086微处理器中的BIU和EU是处于B的工作状态 B.并行 18.8086中指令队列和堆栈特点分别是C C.先进先出;后进先出 19.微型计算机各部件之间是用A连接起来的。 A.系统总线 20.若把组成计算机中的运算器和控制器集成在一块芯 片上称为C。 C.微处理器 21.相联存储器是指按C进行寻址的存储器。 C.内容指定方式 22.单地址指令中为了完成两个数的算术运算,除地址码 指明的一个操作数外,另一个数常需采用D。 D.隐含寻址方式23.某存储器芯片的存储容量为8K×12位,则它的地址 线为C。 C.13 24.下列8086指令中,格式错误的是C。 C.MOV CS,2000H 25.寄存器间接寻址方式中,操作数处在C。C.主存单元 26.某计算机字长16位,其存储容量为2MB,若按半字 编址,它的寻址范围是C。 C.2M 27.某一RAM 芯片,其容量为1024×8位,其数据线和 地址线分别为C。 C.8,10 28.CPU在执行OUT DX,AL指令时,A寄存器的内容 送到数据总线上。 A.AL 29.计算机的存储器系统是指D。 D.cache,主存储器和外存储器 30.指令MOV AX, [3070H]中源操作数的寻址方式为C C.直接寻址 31.EPROM是指D D.光擦可编程的只读存储器 32.指令的寻址方式有顺序和跳跃两种方式,采用跳跃寻 址方式,可以实现D.程序的条件转移成无条件转移33.8086 CPU对存贮器操作的总线周期的T1状态, AD0~AD15引脚上出现的信号是A。A.地址信号 34.堆栈是按D组织的存储区域。D.先进后出原则 35.8086/8088中源变址寄存器是A。A.SI 36.8086/8088中SP是D寄存器。D.堆栈指针寄存器 37.8086/8088中FR是A寄存器。A.标志寄存器 38.8086/8088中IP是C寄存器。C.指令指针寄存器 39.假设AL寄存器的内容是ASCII码表示的一个英文字 母,若为大写字母,将其转换为小写字母,否则不变。 试问,下面哪一条指令可以实现此功能A。 A.ADD AL, 20H 40.逻辑右移指令执行的操作是A。 A.符号位填0,并 顺次右移1位,最低位移至进位标志位 41.假设数据段定义如下: DSEG SEGMENT DAT DW 1,2,3,4,5,6,7,8,9,10 CNT EQU ($-DA T)/2 DSEG ENDS 执行指令MOV CX,CNT后,寄存器CX的内 容是D D.4 42.在下列段寄存器中,代码寄存器是B。B.CS 43.在执行POP[BX]指令,寻找目的操作数时,段地 址和偏移地址分别是B。 B.在DS和BX中 44.设DS=5788H,偏移地址为94H,该字节的物理地址 是B。B.57914H

北京邮电大学微机原理硬件实验报告

北京邮电大学微机原理硬件实验报告

实验报告一:I/0地址译码和简单并行接口 ——实验一&实验二 一、实验目的 掌握I/O地址译码电路的工作原理;掌握简单并行接口的工作原理及使用方法。 二、实验原理及内容 a) I/0地址译码 1、实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台上数 字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~ 287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔经过软件延时实现。 2、接线: Y4/IO地址接 CLK/D触发器

Y5/IO地址接 CD/D触发器 D/D触发器接 SD/D触发器接 +5V Q/D触发器接L7(LED灯)或逻辑笔 b) 简单并行接口 1、按下面图4-2-1简单并行输出接口电路图连接线路(74LS273插通 用插座,74LS32用实验台上的“或门”)。74LS273为八D触发器, 8个D输入端分别接数据总线D0~D7,8个Q输出端接LED显示电 路L0~L7。 2、编程从键盘输入一个字符或数字,将其ASCⅡ码经过这 个输出接口输出,根据8个发光二极管发光情况验证正确 性。 3、按下面图4-2-2简单并行输入接口电路图连接电路 (74LS244插通用插座,74LS32用实验台上的“或门”)。 74LS244为八缓冲器,8个数据输入端分别接逻辑电平开关 输出K0~K7,8个数据输出端分别接数据总线D0~D7。 4、用逻辑电平开关预置某个字母的ASCⅡ码,编程输入这 个ASCⅡ码,并将其对应字母在屏幕上显示出来。 5、接线:1)输出 按图4-2-1接线(图中虚线为实验所需接线,74LS32为实验 台逻辑或门) 2)输入 按图4-2-2接线(图中虚线为实验所需接线,74LS32为实 验台逻辑或门) 三、硬件连线图 1、I/O地址译码

北邮-通原软件实验报告-16QAM

实验一: 16QAM调制与解调 一、实验目的 1、熟悉16QAM信号的调制与解调,掌握SYSTEMVIEW软件中,观察眼图与星座图的方 法。 2、强化SYSTEMVIEW软件的使用,增强对通信系统的理解。 二、实验原理 1、16QAM 16QAM是指包含16种符号的QAM调制方式。 16QAM 调制原理方框图: 图一16QAM调制框图 16QAM解调原理方框图: 图二16QAM解调框图 16QAM 是用两路独立的正交 4ASK 信号叠加而成,4ASK 是用多电平信号去键控载波而得到的信号。它是 2ASK 体制的推广,和 2ASK 相比,这种体制的优点在于信息传

输速率高。 正交幅度调制是利用多进制振幅键控(MASK)和正交载波调制相结合产生的。 16 进制的正交振幅调制是一种振幅相位联合键控信号。16QAM 的产生有 2 种方法: (1)正交调幅法,它是有 2 路正交的四电平振幅键控信号叠加而成; (2)复合相移法:它是用 2 路独立的四相位移相键控信号叠加而成。 在这里我们使用第一种方法。 16QAM信号的星座图: 图三16QAM星座图 上图是16QAM的星座图,图中f1(t)和f2(t)是归一化的正交基函数。各星座点等概出现。 星座图中最近的距离与解调误码率有很密切的关系。上图中的最小距离是dmin=2。 16QAM的每个星座点对应4个比特。哪个星座点代表哪4比特,叫做星座的比特映射。通常采用格雷映射,其规则是:相邻的星座点只差一个比特。 实验所需模块连接图如下所示: 图四模块连接图 各个模块参数设置:

三、实验步骤 (1)按照实验所需模块连接图,连接各个模块 (2)设置各个模块的参数: ①信号源部分:PN序列发生器产生双极性NRZ序列,频率10HZ 图五信号源设置示意图 ②载频:频率设置为100Hz。

北邮微机原理软件实验报告

微机原理软件实验报告

实验二分支,循环程序设计 一、预习题: 1.十进制数0 -- 9 所对应的ASCII 码是什么? 如何将十进制数0 -- 9 在屏幕上显示出来? 答:要屏显0-9的数码,可以调用02h中断,然后将要显示的数码的ASCII码存进DL 里,然后执行INT 21H就可以打印字符。当然,若不只一个数,调用09h中断(显示字符串)更好,但要将DS:DXZ指向要显示的数字在内存中的首地址,并要求以$结束。 2.如何检验一个数为正,为负或为零? 你能举出多少种不同的方法? 答:CMP X,0 检验标志位CF CF=1,X为负数 CF=0接着检验ZF:ZF=1,X为零,ZF=0,X为正数 二、实验目的: 1.开始独立进行汇编语言程序设计; 2.掌握基本分支,循环程序设计; 3.掌握最简单的DOS 功能调用. 三、实验内容: 1.安排一个数据区,内存有若干个正数,负数和零.每类数的个数都不超过9. 2.编写一个程序统计数据区中正数,负数和零的个数. 四、实验源代码 assume cs:code,ds:data data segment buff db 1,2,3,4,5,-1,-2,-3,0,0 ;安排的数据区 string db '>0:' plus db 0 ;用变量plus来存储正数的个数 db 0ah,0dh string1 db '=0:' zero db 0 ;用变量zero来存储零的个数 db 0ah,0dh string2 db '<0:' minus db 0 ;用变量minus来存储负数的个数 db '$' data ends code segment start:movax,data movds,ax mov cx,10 ;初始化,并将CX赋为10,因为共有10个数 mov dx,0 ;将计数器dx,ah初始化为0 mov ah,0 lea bx,buff compare:cmp byte ptr [bx],0 ;取出一个数与0进行比较 jgeplu ;大于等于0,跳至plu执行 inc ah ;小于0,用ah暂存小于0的个数,ah+1 jmp next ;比完后进行下一个数的比较

北邮电磁场与微波实验天线部分实验报告二

北邮电磁场与微波实验天线部分实验报告二

信息与通信工程学院电磁场与微波实验报告

实验二网络分析仪测试八木天线方向图 一、实验目的 1.掌握网络分析仪辅助测试方法; 2.学习测量八木天线方向图方法; 3.研究在不同频率下的八木天线方向图特性。 注:重点观察不同频率下的方向图形状,如:主瓣、副瓣、后瓣、零点、前后比等; 二、实验步骤: (1) 调整分析仪到轨迹(方向图)模式; (2) 调整云台起点位置270°; (3) 寻找归一化点(最大值点); (4) 旋转云台一周并读取图形参数; (5) 坐标变换、变换频率(f600Mhz、900MHz、1200MHz),分析八木天线方向图特性; 三、实验测量图 不同频率下的测量图如下: 600MHz:

900MHz:

1200MHz:

四、结果分析 在实验中,分别对八木天线在600MHz、900MHz、1200MHz频率下的辐射圆图进行了测量,发现频率是900MHz的时候效果是最好的,圆图边沿的毛刺比较少,方向性比较好,主瓣的面积比较大。 当频率为600 MHz的时候,圆图四周的毛刺现象比较严重,当频率上升到1200MHz时,辐射圆图开始变得不规则,在某些角度时出现了很大的衰减,由对称转向了非对称,圆图边缘的毛刺现象就非常明显了,甚至在某些角度下衰减到了最小值。 从整体来看,八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候周围的人应该避免走动,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 由实验结果分析可知:最大辐射方向基本在90°和270°这条直线上,图中旁瓣均较小,及大部分能量集中在主瓣。 八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候应当尽量保持周边环境参数一定,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 五、实验总结

北邮通信原理软件实验报告

通信原理软件实验报告 学院:信息与通信工程学院班级:

一、通信原理Matlab仿真实验 实验八 一、实验内容 假设基带信号为m(t)=sin(2000*pi*t)+2cos(1000*pi*t),载波频率为20kHz,请仿真出AM、DSB-SC、SSB信号,观察已调信号的波形和频谱。 二、实验原理 1、具有离散大载波的双边带幅度调制信号AM 该幅度调制是由DSB-SC AM信号加上离散的大载波分量得到,其表达式及时间波形图为: 应当注意的是,m(t)的绝对值必须小于等于1,否则会出现下图的过调制: AM信号的频谱特性如下图所示: 由图可以发现,AM信号的频谱是双边带抑制载波调幅信号的频谱加上离散的大载波分量。

2、双边带抑制载波调幅(DSB—SC AM)信号的产生 双边带抑制载波调幅信号s(t)是利用均值为0的模拟基带信号m(t)和正弦载波c(t)相乘得到,如图所示: m(t)和正弦载波s(t)的信号波形如图所示: 若调制信号m(t)是确定的,其相应的傅立叶频谱为M(f),载波信号c(t)的傅立叶频谱是C(f),调制信号s(t)的傅立叶频谱S(f)由M(f)和C(f)相卷积得到,因此经过调制之后,基带信号的频谱被搬移到了载频fc处,若模拟基带信号带宽为W,则调制信号带宽为2W,并且频谱中不含有离散的载频分量,只是由于模拟基带信号的频谱成分中不含离散的直流分量。 3、单边带条幅SSB信号 双边带抑制载波调幅信号要求信道带宽B=2W, 其中W是模拟基带信号带宽。从信息论关点开看,此双边带是有剩余度的,因而只要利用双边带中的任一边带来传输,仍能在接收机解调出原基带信号,这样可减少传送已调信号的信道带宽。 单边带条幅SSB AM信号的其表达式: 或 其频谱图为:

北邮微原软件实验报告

2013年微机原理软件实验报告 学院:信息与通信工程学院 班级:2011211104 姓名:

实验二分支,循环程序设计 一.实验目的: 1.开始独立进行汇编语言程序设计; 2.掌握基本分支,循环程序设计; 3.掌握最简单的DOS 功能调用 二.实验内容: 1.安排一个数据区,内存有若干个正数,负数和零.每类数的个数都不超过9. 2.编写一个程序统计数据区中正数,负数和零的个数. 3.将统计结果在屏幕上显示. 三.预习题 1.十进制数0 -- 9 所对应的ASCII 码是什么? 如何将十进制数0 -- 9 在屏幕上显示出来? 0-9的ACSII码为,30h,31h,32h,34h,35h,36h,37h,38h,39h, 将要显示的数加上30h,得到该数的ACSII码,再利用DOS功能调用显示单个字符 2.如何检验一个数为正,为负或为零? 你能举出多少种不同的方法? 利用cmp指令,利用TEST指令,将该数与0相与,将该数与0相减,观察标志位。

四.程序流程图

五.源程序 DATA SEGMENT ;数据段 NUM DB 1,2,-2,3,-3,5,2,4,-6,-11,100,0,0,34,-55,-33,0 ;待处理数据COUNT EQU $-NUM ;数据个数 MINUS DB 0 ;小于零的个数 ZERO DB 0 ;等于零的个数 PLUS DB 0 ;大于零的个数 RESULT DB 'NEGNUM=',?,0AH,0DH,'ZERONUM=',?,0AH,0DH,'POSNUM=',?,0AH,0DH,'$' ;结果显示字符串 DATA ENDS STACK SEGMENT STACK 'STACK' ;堆栈段 DW 50 DUP(?) STACK ENDS CODE SEGMENT ;代码段 ASSUME CS:CODE,DS:DATA,SS:STACK START: MOV AX,DATA MOV DS,AX MOV CX,COUNT MOV SI,OFFSET NUM AGAIN: MOV AL,[SI] ;循环比较 CMP AL,0 JGE NEXT1 INC MINUS JMP DONE NEXT1: JZ NEXT2 INC PLUS JMP DONE NEXT2: INC ZERO DONE: INC SI LOOP AGAIN ;返回结果 MOV DI,OFFSET RESULT MOV AL,MINUS ADD AL,30H MOV BYTE PTR[DI+7],AL MOV AL,ZERO ADD AL,30H MOV BYTE PTR[DI+18],AL MOV AL,PLUS ADD AL,30H MOV BYTE PTR[DI+28],AL

北邮—微机原理与接口技术(1)

微机原理与接口技术硬件实验报告

目录 1.实验一微机实验平台介绍及IO的使用 (3) 1.1.实验目的 (3) 1.2.实验内容及要求 (3) 1.3.实验环境及背景 (3) 1.4.实验步骤 (4) 1.4.1.使用debug的I、O命令读写端口 (4) 1.4.2.使用文本编辑器edit和编译器masm (5) 1.5.思考题 (11) 1.6.心得体会 (11) 参考资料 (12) 声明与致谢 (12)

1.实验一微机实验平台介绍及IO的使用 1.1.实验目的 1.1.1通过实验了解和熟悉实验台的结构,功能及使用方法; 1.1.2通过实验掌握直接使用debug的I、O命令来读写IO端口; 1.1.3学会debug的使用及编写汇编程序。 1.2.实验内容及要求 1.2.1学习使用debug命令,并用I、O命令直接对端口进行读写操作, 1.2.2用汇编语言编写跑马灯程序。(可以使用EDIT编辑工具。)要求实现以下两个功能:A.通过读入端口状态(ON为低电平),选择工作模式(灯的闪烁方式、速度等);B.通过输出端口控制灯的工作状态(低电平灯亮)。 1.2.3使用时要注意,电源打开时不得插拔电缆及各种器件,一定要在断电的情况下连接电路,否则可能会烧坏整个实验系统。 1.3.实验环境及背景 我们使用PCI_IDE50扁平电缆将PC机与实验扩展模块连接起来。在扩展实验平台上,有八个发光二极管、八个拨码开关。读取拨码开关和写发光二极管的端口地址已经被做成了0E8E0h。连接的PC机上安装有DOS操作系统,并有MASM5开发工具。

图1扩展实验模块 1.4.实验步骤 1.4.1.使用debug的I、O命令读写端口 进入DOS,在命令行模式下输入命令debug,用-a选项写入下列程序:CODE (debug mode) MOV DX, E8E0 MOV AL, FE OUT DX, AL MOV AH, 0B INT 21 OR AL, AL JZ 0100 INT 20 输入结束后,直接输入回车即可推出-a。然后,用-g选项运行程序,查看结果。发现最右边的LED亮,其他不亮。修改输出内容,再运行查看结果,可以发现:当输出位对应为1时,灯灭;输出为0时,灯亮。 下面,我们来分析一下以下这段程序的作用。

北邮通信原理实验报告

北京邮电大学通信原理实验报告 学院:信息与通信工程学院班级: 姓名: 姓名:

实验一:双边带抑制载波调幅(DSB-SC AM ) 一、实验目的 1、了解DSB-SC AM 信号的产生以及相干解调的原理和实现方法。 2、了解DSB-SC AM 信号波形以及振幅频谱特点,并掌握其测量方法。 3、了解在发送DSB-SC AM 信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法。 4、掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的调试方法。 二、实验原理 DSB 信号的时域表达式为 ()()cos DSB c s t m t t ω= 频域表达式为 1 ()[()()]2 DSB c c S M M ωωωωω=-++ 其波形和频谱如下图所示 DSB-SC AM 信号的产生及相干解调原理框图如下图所示

将均值为零的模拟基带信号m(t)与正弦载波c(t)相乘得到DSB—SC AM信号,其频谱不包含离散的载波分量。 DSB—SC AM信号的解调只能采用相干解调。为了能在接收端获取载波,一种方法是在发送端加导频,如上图所示。收端可用锁相环来提取导频信号作为恢复载波。此锁相环必须是窄带锁相,仅用来跟踪导频信号。 在锁相环锁定时,VCO输出信号sin2πf c t+φ与输入的导频信号cos2πf c t 的频率相同,但二者的相位差为φ+90°,其中很小。锁相环中乘法器的两个 输入信号分别为发来的信号s(t)(已调信号加导频)与锁相环中VCO的输出信号,二者相乘得到 A C m t cos2πf c t+A p cos2πf c t?sin2πf c t+φ =A c 2 m t sinφ+sin4πf c t+φ+ A p 2 sinφ+sin4πf c t+φ 在锁相环中的LPF带宽窄,能通过A p 2 sinφ分量,滤除m(t)的频率分量及四倍频载频分量,因为很小,所以约等于。LPF的输出以负反馈的方式控制VCO,使其保持在锁相状态。锁定后的VCO输出信号sin2πf c t+φ经90度移相后,以cos2πf c t+φ作为相干解调的恢复载波,它与输入的导频信号cos2πf c t 同频,几乎同相。 相干解调是将发来的信号s(t)与恢复载波相乘,再经过低通滤波后输出模拟基带信号 A C m t cos2πf c t+A p cos2πf c t?cos2πf c t+φ =A c 2 m t cosφ+cos4πf c t+φ+ A p 2 cosφ+cos4πf c t+φ 经过低通滤波可以滤除四倍载频分量,而A p 2 cosφ是直流分量,可以通过隔直

北京邮电大学 通信原理实验报告 硬件部分

北京邮电大学实验报告 题目:基于TIMS通信原理实验报告 班级:2009211126班 专业:信息工程 姓名: 成绩:

实验1振幅调制(AM)与解调 一、实验目的 (1)掌握具有离散大载波(AM)调制的基本原理; (2)掌握包络检波器的基本构成和原理; (3)掌握调幅波调制系数的意义和求法。 二、实验原理 幅度调制是由DSB-SC AM信号加上一离散的大载波分量(设载波的初始相位φc=0),其表示式为 s t=A c1+m t cos2πf c t 式中要求基带信号波形m t≤1,使AM信号的包络A c1+m t总是正的,式中的A c cos2πf c t是载波分量A c m t cos2πf c t是DSB-SC AM信号。 定义 m n t= m(t) max?|m(t)|,|m(t)|≤1 a=max m t,|m(t)|≤1 称标量因子a为调制系数或调幅系数。 有两种调制方式,调制框图如下 AM 信号调制原理框图1 AM 信号调制原理框图2 解调原理框图如下 AM 信号解调原理框图

三、实验步骤 1、按如下所示的连接图连接好 AM信号调制连接图 AM信号解调连接图 2、调节加法器上两路输入信号的放大倍数,同时用示波器监测,在保证加法器输出波形不削顶的情况下,调节至交流信号峰值与直流成分之比(即调制系数)为小于1、等于1、大于1,观察调制信号和解调信号波形图; 3、观察滤波器输入输出波形的变化,分析原因。 四、实验结果 音频振荡器的输出频率调整为1kHZ,直流电压幅度调整为1V。 a<1时,基带与调制信号波形如下

调制与解调输出

相关文档