文档库 最新最全的文档下载
当前位置:文档库 › 运算器实验原理

运算器实验原理

运算器实验原理

运算器实验是一种电子电路实验,旨在探究运算器的原理和功能。运算器是一种电路,它可以对数字信号进行特定的算术和逻辑运算,如加法、乘法、与、或、非等。它通常被用作数字信号处理系统中的核心组件,例如计算机和数字信号处理器。

运算器实验原理主要包括以下内容:

1. 运算器的基本结构和功能原理。

2. 运算器的内部电路,例如加法器、乘法器、逻辑电路等。

3. 运算器的运算精度,包括浮点数精度和定点数精度。

4. 运算器的时钟频率和响应速度。

5. 运算器的测试方法和性能评估。

在运算器实验中,通常会使用逻辑电路芯片(例如74LS00、

74LS08等)来实现运算器的基本逻辑功能,而使用可编程逻

辑器件(例如FPGA、CPLD等)来实现更复杂的功能,例如

浮点数运算、定点数运算等。实验者需要熟悉逻辑电路设计和程序设计的基本原理,以便能够进行有效的实验设计和调试。

实验过程中,需要使用数字示波器、信号发生器、直流电源等测试仪器,以对运算器的输入输出波形进行监测和分析。同时,需要进行各种性能评估,例如电路响应速度、功耗、噪声等方

面的测试,以深入理解运算器的工作原理和特点。

总之,运算器实验是一项非常有挑战性和实用价值的电子电路实验,它可以帮助实验者掌握数字信号处理系统和计算机系统中的基本概念和技能,为未来的研究和工作打下坚实的基础。

实验一运算器实验

实验一运算器实验 简介:运算器是数据的加工处理部件,是CPU的重要组成部分,各类计算机的运算器结构可能有所不同,但是他们的最基本的结构中必须有算术/逻辑运算单元、数据缓冲寄存器、通用寄存器、多路转换器的数据总线的逻辑构件。 一、实验目的 1、了解算术逻辑运算器(74LS181)的组成和功能。 2、掌握基本算术和逻辑运算的实现方法。 二、实验内容 运用算术逻辑运算器74LS181 进行有符号数/无符号数的算术运算和逻辑运算。 三、实验元器件 1、算术逻辑运算器(74LS181)。 2、三态门(74LS244、74LS245)及寄存器(74LS27 3、74LS373)。 3、二进制拨码开关SW-SPDT 四、实验原理 图1.1运算器电路原理图 本实验的算术逻辑运算器电路如图 1.1所示:输入和输出单元跟上述实验相同:缓冲输入区八位拨码开关用来给出参与运算的数据,并经过三态门74LS245 和数据总线BUS相连,在控制开关SW_BUS处于高电平时允许输出到数据总线。 运算器则由两个74LS181以串行进位形式构成8位字长的算术/逻辑运算单元(ALU):ALU_L4B的进位输出端CN+4与ALU_H4B的进位输入端CN相连,使低4位运算产生的进位送进高4位运算中。其中ALU_L4B为低4位运算芯片,参与低四位数据运算,ALU_H4B为高4位运算芯片,参与高四位数据运算。ALU_L4B的进位输入

端CN通过三态门连接到二进制开关CN,控制运算器仅为,ALU_H4B的进位输出端CN+4经过反相器74LS04,通过三态门接到溢出标志位CF指示灯(CF=1,即ALU运算结果溢出)。 ALU 除了溢出标志位CF外,还有两个标志位:零标志位ZF(ZF=1,即ALU运算结果为0,ZF对应发光二极管点亮)和符号标志位SF(SF=1,即运算结果为负数;SF=0 即运算结果为正数或0对应发光二极管点亮)。 图 1.2 运算器通路图 ALU 的工作方式可通过设置两个74181芯片的控制信号(S0、S1、S2、S3、M、CN)来实现, 其74LS181逻辑功能表由表1-1给出,运算器ALU 的输出经过三态门(两片74LS244或一片74LS245)和数据总线BUS 相连。当二进制控制开关CBA=010状态时,通过138译码选通输出ALU_BUS运算器运行结果。运算器ALU 的两个数据输入端分别由两个数据暂存器(74LS273)DR1、DR2 锁存,74LS181 将DR1、DR2 内的数据作为上述表 1.1中参与运算的数 A 和B。由于DR1、DR2 已经把数据锁存,只要74LS181的控制信号不变,那么74LS181 的输出数据也不会发生改变。数据缓冲寄存器DR1、DR2 的输入端D0~D7连至8位数据总线BUS,在DR1_CLK和DR2_CLK 端出现上升沿跳变的时候,总线BUS的数据分别打入DR1、DR2锁存。

组成原理 运算器实验

《计算机组成原理》实验报告 专业: 学号: 学生姓名: 实验日期:

实验一 一、实验名称:运算器实验 二、实验目的: 1.掌握运算器的组成及工作原理。 2.了解运算器的组成结构。 3.掌握运算器的工作原理。 三、实验内容: 1.ALU 中所有模块集成在一片CPLD 中。 2.运算器部件由一片CPLD 实现 3.不同控制组合下的算术与逻辑运算的输出结果 四、实验原理: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN 来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU 的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU 零标志。ALU 中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2 所示。 五、实验设备:

PC 机一台,TD-CMA 实验系统一套,排线若干。 六、实验步骤: (1) 按图1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101(或其它数值),数据显示亮为‘1’,灭为‘0’。

计算机组成原理运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU中的运算器来完成,运算器也称作算术逻辑部件ALU。本章首先安排一个基本的运算器实验,了解运算器的基本结构,然后再设计一个加法器和一个乘法器。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验的原理如图1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-2所示。图中显示的是一个4×4的矩阵(系统中是一个8×8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器实验总结

运算器实验总结 一、引言 在现代科技高度发展的今天,计算机已经成为了人们生活和工作中不可或缺的一部分。而计算机的核心部件之一就是运算器。运算器作为计算机的“大脑”,起着重要的计算和控制作用。本文将对运算器实验进行总结,包括实验目的、实验过程和实验结果等内容。 二、实验目的 运算器实验的目的是通过设计和实现一个简单的运算器电路,加深对计算机运算原理的理解,以及培养学生的动手能力和解决问题的能力。 三、实验过程 运算器实验分为设计和搭建电路两个步骤。 1. 设计 在实验开始之前,我们需要根据运算器的功能需求,设计出运算器电路的逻辑结构。运算器一般包括算术逻辑单元(ALU)和控制单元(CU)等组成部分。我们可以根据实验要求,设计出适合的运算器结构。 2. 搭建电路 在设计完成后,就可以开始搭建运算器电路了。首先,我们需要根据设计图纸,准备所需的电子元件,如逻辑门、开关和触发器等。然

后,按照电路图的连接顺序,一步一步地将电子元件连接起来,形成 一个完整的运算器电路。 3. 调试与测试 搭建完成后,需要经过调试和测试来确保电路的正常工作。我们可 以通过给电路输入不同的二进制数值,观察电路输出是否符合预期结 果来判断电路的正确性。如果出现问题,可以逐步检查电路连接是否 正确,是否存在元件损坏等情况。 四、实验结果 经过设计、搭建和调试测试,最终我们得到了一个正常工作的运算 器电路。在测试过程中,我们对电路进行了多组输入输出的验证,结 果表明电路正常。通过我们的运算器,可以完成四则运算、逻辑运算 等基本运算需求。 五、实验启示 通过这次运算器实验,我们收获了很多。 首先是对计算机运算原理的深入理解。在设计和搭建电路的过程中,我们不仅需要了解计算机的基本运算原理,还需要将理论知识实际应 用到电路设计和调试中。实践过程不仅加深了我们对计算机原理的理解,还帮助我们发现了一些之前未曾察觉到的问题和异常现象。 其次是培养了动手能力和解决问题的能力。在实验过程中,我们需 要亲自动手进行电路的搭建和调试。这些操作不仅需要耐心和细心,

运算器实验总结

运算器实验总结 引言 本文旨在总结并分析我们小组进行的运算器实验。该实验是计算机组成原理课 程中的一项重要实践内容,通过设计和实现一个简单的运算器,我们加深了对计算机基本运算原理的理解,并提升了实际操作的能力。 实验目标 本次实验的主要目标是设计一个基本的运算器,能够支持常见的算术运算,包 括加法、减法、乘法和除法。实验要求我们使用一个预定义的指令集,并利用指令集中的指令完成相应的运算操作。实验的重点在于理解运算器设计的原理和实现逻辑。 实验步骤 1. 指令集设计 首先,我们需要设计一个符合实验要求的指令集。根据要求,指令集应包括加 法指令、减法指令、乘法指令和除法指令,以及相应的存储器读写指令和跳转指令。我们经过讨论和研究,综合考虑了指令的使用频率和实现难度,最终确定了一个简洁而实用的指令集。 2. 运算器设计 在指令集确定后,我们开始设计运算器的硬件电路。运算器主要由运算单元、 存储器和控制单元组成。我们根据指令集的需求,设计了相应的运算单元和存储器,并利用逻辑门和触发器等基本电子元件实现了运算器的硬件电路。 3. 运算器实现 在硬件电路设计完成后,我们将其实现为实际的运算器。这一步骤需要进行电 路连接和元件焊接等操作。经过小组成员的共同努力,我们最终成功地将硬件电路编码为实际的运算器。 4. 运算器测试 完成运算器的实现后,我们对其进行了全面的测试。测试过程包括输入不同的 算术表达式和指令,验证运算器的运算正确性和稳定性。我们还进行了性能测试,评估运算器的运算速度和资源使用情况。

实验结果 经过严格的测试和评估,我们的运算器设计和实现达到了预期的效果。在正确性方面,我们进行了大量的功能测试,发现运算器能够正确地执行各种算术运算。在性能方面,我们进行了多轮性能测试,发现运算器的运算速度能够满足我们的需求,并且资源使用情况较为合理。 总结与体会 通过本次运算器实验,我们深入理解了计算机的运算原理和实现逻辑。我们了解了指令集的设计和运算器的硬件电路实现过程,并通过实际操作提升了我们的实践能力。同时,我们也发现了一些不足之处,例如在设计过程中的某些决策可能不够合理,导致后期调试的困难。这些经验和教训对我们今后的学习和工作都有很大的帮助。 在今后的学习中,我们将继续加强对计算机组成原理和运算器设计的学习和理解。我们会进一步探索运算器的优化和扩展,提高其性能和功能,以应对更为复杂的计算任务。我们相信,通过不断地实践和思考,我们能够在计算机领域取得更多的成果。 参考文献 暂无 注:本文档为人工智能助手生成,仅供参考。

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

计算机组成原理实验

计算机组成原理实验指导 实验一运算器部件实验 一、实验目的 ⒈掌握简单运算器的数据传输方式。 ⒉验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。三、实验原理 实验中所用的运算器数据通路如图2-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

图2-1-1运算器电原理图 图2-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。 四、实验连线 图2-1-2实验连线示意图 按图2-1-2所示,连接实验电路: ①总线接口连接:用8芯扁平线连接图2-1-2中所有标明“”或“”图案的总线接口。 ②控制线与时钟信号“”连接:用双头实验导线连接图2-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图2-1-3所示系统用位于实验系统“二进制开关单元”的26只拨动开关来模拟与微控制器相对应的控制信号。用手动加载正逻辑控制电平(即高电平信号“H”)和按【单步】命令键产生的单周期4拍时序信号T1、T2、T3、T4的方法来实现和完成各单元实验所需的控制信号操作。

「计算机组成原理运算器实验报告」

计算机组成原理实验一 运算器实验 一、实验目的: 1、掌握简单运算器的数据传输方式。 2、验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求: 完成不带进位及带进位算数运算实验、逻辑运算实验,了解算数逻辑运算单元的运用。 三、实验原理: 74LS181是4位算术逻辑运算器,用两个74LS181并联可以

实现8位运算,为了实现双操作的运算,AL U的输入端分别由两个锁存器DR1,D R2锁存数据。数据显示灯和数据总线相连接,用来显示数据总线上的内容。由于实验电路中的时序信号均已连接至W/RUIT 相应的时序信号引出端,只要微动开关,即可获得实验所需的单脉冲。 四、 实验连接: 1.八位运算器控制信号连接: S3,S2,S 1,S0,M,/CN,LDDR1,L DDR2,LDCZ Y,/S W-B ,/AL U-B,Cn+4 C n+4I 2.完成连接并检查无误后接通电源。 五、实验仪器状态设定: 在闪动的“P.”状态下按动“增址”命令键,使L ED 显示器自左向右第一位显示提示符“H ”,表示本装置已进入手动单元实验状态。 五、 实验项目: (一)算数运算实验 拨动二进制数据开关向DR 1和D R2寄存器置数(灯亮为1,灯灭为0)。 步骤如下: [ CBA=001] [L DDR1=1] [LDDR 1=0] [L DDR2=0] [L DDR2=1]

[“按STEP”] [“按STEP”] 然后检查数据: 1.关闭数据输入三态门(CBA=000) 2.打开ALU输出三态门(CBA=010) 3.当置S3,S2,S1,S0,M为11111时,总线指示灯显示DR1中的数 4.当置S3,S2,S1,S0,M为10101时,总线指示灯显示DR2中的数 算数运算(不带进位)实验: 置CBA=010,S3,S2,S1,S0,M,/CN为100101,LDCZY=0,则数据总线指示灯显示00001100(0CH) (二)进位控制实验 (1)进位标志清零 CBA=000 置S3,S2,S1,S0,M为00000置/CN为0,LDCZY为1 按STEP (2)向DR1和DR2置数(同上) (3)验证进位运算及进位锁存功能,使/CN=1,LDCZY=1,来进行算数运算。 给定DR1=65,DR2=A7,改变运算器功能(逻辑或非运算方法见逻辑运算实验),得到运算器输出记录如下:

运算器实验报告

运算器实验报告 实验背景 运算器是计算机中一种重要的基本逻辑电路,用于进行算术和逻辑运算。本次实验旨在设计一个基于逻辑门的4位二进制加法器,以实现两个4位二进制数的加法运算。 实验设备与材料 1. 逻辑门:AND门、OR门、XOR门、NOT门 2. 电路连接线 3. 电压源 4. 实验板 5. 4个开关、8个LED灯 实验原理 在二进制数的加法中,我们需要对每一位进行逐个相加,并考虑进位的情况。对于两个4位二进制数的加法,我们可以将其划分为4个单独的位加法运算,再结合进位的情况进行计算。 实验步骤 1. 连接电路:根据逻辑门的真值表和逻辑方程,使用电路连接线将逻辑门按照设计要求连接在一起。

2. 设计输入:使用4个开关分别表示两个4位二进制数的每一位输入。 3. 设计输出:使用8个LED灯分别表示两个4位二进制数的每一位输出和进位。 4. 进行实验:按照设计的输入情况,观察LED灯的亮灭情况,验证加法器的正确性。 5. 记录结果:将实验结果记录在实验报告中。 实验结果与分析 实验中,我们设计的4位二进制加法器成功实现了两个4位二进制数的加法运算。通过观察LED灯的亮灭情况,我们可以判断出加法器的计算是否正确。 在实验过程中,我们发现在某些情况下,LED灯的亮灭可能存在短暂的闪烁现象,这是因为逻辑门的切换速度限制导致的,不会影响加法器的正常运算结果。 实验总结 通过本次实验,我们深入理解了运算器的工作原理,并成功设计并实现了一个基于逻辑门的4位二进制加法器。在实验中,我们熟悉了逻辑门的连接方法,并通过观察LED灯的亮灭情况验证了加法器的正确性。

此外,在实验中我们也发现了逻辑门的切换速度限制会导致LED 灯的闪烁现象。在实际应用中,我们需要根据逻辑门的性能要求选择适当的门延迟时间,以保证运算器的稳定工作。 总体而言,本次实验对于我们理解运算器的工作原理,掌握逻辑门的应用具有重要意义。我们相信通过进一步的学习和实践,我们能够设计出更加复杂和高效的运算器,为计算机的发展做出更大的贡献。

计算机组成原理运算器的实验报告

计算机组成原理运算器的实验报告 一.实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二.实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑 和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4 的矩阵(系统中是一个8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填 充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。 三.实验步骤与结果 (1)按图1-1-5连接实验电路,并检查无误。图中将用户需 要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档, 开关KK1 、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接 线,直到错误排除。然后按动CON单元的CLR 按钮,将运算器的A、B 和FC、FZ清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1 ’,灭为‘0 ’。 ②置LDA=1,LDB=0,连续按动时序单元的 ST 按钮,产生一个

基本运算器实验

基本运算器实验报告 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。本次试验首先做一个基本的运算器实验,了解运算器的基本结构。 一、实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二、实验设备 PC 机一台, TD-CMA实验系统一套。 三、实验原理 四、实验步骤 (1) 按图1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号 用圆圈标明(其它实验相同) 。 图1-1-5 实验接线图 (2) 将时序与操作台单元的开关KK2 置为‘单拍'档, 开关KK1、KK3 置为‘运行'档。 (3) 打开电源开关,如果听到有‘嘀'报警声,说明有总线竞争现象,应立

即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,

将运算器的 A 、 B 和FC、FZ 清零。 (4) 用输入开关向暂存器 A 置数 ① 拨动CON 单元的SD27⋯SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘ 1',灭为‘ 0'。 ② 置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器 A 中,暂存器 A 的值通过ALU 单元的A7⋯A0 八位LED 灯显示。 (5) 用输入开关向暂存器 B 置数。 ① 拨动CON 单元的SD27⋯SD20 数据开关,形成二进制数(或其它数值)。 ② 置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数置入暂存器B 中,暂存器B 的值通过ALU 单元的B7 ⋯B0 八位LED 灯显示。 (6) 改变运算器的功能设置,观察运算器的输出。置ALU_B=0、LDA=0、LDB=0,然后按表1-1-1 置S3、S2、S1、S0 和Cn 的数值,并观察数据总线LED 显示灯显示的结果。如置S3 、S2、S1、S0 为0010 ,运算器作逻 辑与运算,置S3 、S2、S1、S0 为1001 ,运算器作加法运算。

2021年计算机组成原理运算器实验报告

一. 试验目及要求 (1) 了解运算器组成结构。 (2) 掌握运算器工作原理。 二. 试验模块及试验原理 本试验原理如图1-1-1所表示。 运算器内部含有三个独立运算部件, 分别为算术、逻辑和移位运算部件,

要处理数据存于暂存器A 和暂存器B , 三个部件同时接收来自 A 和B 数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前, 如ARM), 各部件对操作数进行何种运算由控制信号 S3…S0和CN来决定, 任何时候, 多路选择开关只选择三部件中一个部件结果作为 ALU输出。假如是影响进位运算, 还将置进位标志 FC, 在运算结果输出前, 置 ALU零标志。ALU中全部模块集成在一片CPLD 中。 逻辑运算部件由逻辑门组成, 较为简单, 以后面又有专门算术运算部件设计试验, 在此对这两个部件不再赘述。移位运算采取是桶形移位器, 通常采取交叉开关矩阵来实现, 交叉开关原理如图1-1-2所表示。图中显示是一个 4X4 矩阵(系统中是一个 8X8 矩阵)。每一个输入都经过开关与一个输出相连, 把沿对角线开关导通, 就可实现移位功效, 即: (1) 对于逻辑左移或逻辑右移功效, 将一条对角线开关导通, 这将全部输入位与所使用输出分别相连, 而没有同任何输入相连则输出连接0 。 (2) 对于循环右移功效, 右移对角线同互补左移对角线一起激活。比如, 在 4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接输出位, 移位时使用符号扩展或是 0 填充, 具体由对应指令控制。使用另外逻辑进行移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU输入和输出经过三态门74LS245 连到CPU 内总线上, 另外还有指示灯标明进位标志FC和零标志FZ。请注意: 试验箱上凡丝印标注有马蹄形标识‘’, 表示这两根排针之间是连通。图中除 T4和CLR , 其它信号均来自于 ALU单元排线座, 试验箱中全部单元T1、 T2、 T3、 T4都连接至控制总线单元 T1、 T2、 T3、 T4, CLR 都连接至 CON单元CLR 按钮。T4由时序单元 TS4 提供(时序单元介绍见附录二), 其它控制信号均由CON单元二进制数据开关模拟给出。控制信号中除T4为脉冲信号外, 其它均为电平信号, 其中ALU_B为低有效, 其它为高有效。 暂存器A 和暂存器B 数据能在LED 灯上实时显示, 原理如图1-1-3所表示

实验一 8位算术逻辑运算实验

实验一8位算术逻辑运算实验 一、实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74L S181的组合功能。 二、实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片 74L S181以并/串形成8位字长的A L U构成。运算器的输出经过一个三态门 74L S245(U33)到内部数据总线B U S D0~D7插座B U S1~2中的任一个(跳线器J A3为高阻时为不接通),内部数据总线通过L Z D0~L Z D7显示灯显示;运算器的两个数据输入端分别由二个锁存器74L S273(U29、U30)锁存,两个锁存器的输入并联后连至内部总线B U S,实验时通过8芯排线连至外部数据总线E X D0~D7插座E X J1~E X J3中的任一个;参与运算的数据来自于8位数据开并K D0~K D7,并经过一三态门74L S245(U51)直接连至外部数据总线E X D0~E X D7,通过数据开关输入的数据由L D0~L D7显示。 图中算术逻辑运算功能发生器74L S181(U31、U32)的功能控制信号S3、S2、S1、S0、C N、M并行相连后连至6位功能开关,以手动方式用二进制开关S3、S2、S1、S0、C N、M来模拟74L S181(U31、U32)的功能控制信号S3、S2、S1、S0、C N、M;其它电平控制信号L D D R1、L D D R2、A L U B`、S W B`以手动方式用二进制开关L D D R1、L D D R2、A L U B、S W B来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中A L U B`、S W B`为低电平有效,L D D R1、L D D R2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端S D相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。 根据实验原理详细接线如下: 1、J20,J21,J22,接上短路片, 图1-1.J20,J21,J22接上短路片 2、J24,J25,J26接左边; 图1-2.J24,J25,J26接左边

运算器实验报告

运算器实验报告 实验目的,通过设计和制作一个简单的运算器,加深对逻辑门原理和数字电路的理解,掌握数字电路的基本设计方法和实验技能。 一、实验原理。 1.逻辑门原理。 逻辑门是数字电路的基本组成部分,根据输入信号的不同组合产生不同的输出信号。常见的逻辑门有与门、或门、非门等。 2.数字电路设计。 数字电路是由逻辑门和触发器等数字元件组成的电路,能够对数字信号进行处理和运算。 二、实验器材。 1.电源。 2.逻辑门集成电路。 3.示波器。 4.万用表。 5.连接线。 6.电路板。 7.开关。 8.LED等。 三、实验步骤。

1.根据设计要求,选择适当的逻辑门集成电路,连接电源和示波器等设备。 2.按照逻辑门的真值表,确定输入信号的组合,观察输出信号的变化。 3.调试电路,确保逻辑门的输入输出符合设计要求。 4.将电路连接至LED等输出装置,观察LED的亮灭情况。 四、实验结果。 经过实验,我们成功设计并制作了一个简单的运算器。通过观察示波器和LED 等输出装置,我们可以清晰地看到输入信号和输出信号的变化情况。经过调试,我们确保了逻辑门的输入输出符合设计要求,实现了基本的逻辑运算功能。 五、实验分析。 本次实验通过设计和制作运算器,加深了对逻辑门原理和数字电路的理解。在实验过程中,我们发现了一些问题,并通过调试和改进,最终取得了成功。这些问题的解决过程,也让我们更加深入地理解了数字电路的基本设计方法和实验技能。 六、实验总结。 通过本次实验,我们不仅掌握了数字电路的基本设计方法和实验技能,还加深了对逻辑门原理的理解。在未来的学习和工作中,我们将继续努力,不断提高自己的实验能力,为将来的科研和工程实践打下坚实的基础。 七、实验感想。 本次实验让我们深刻体会到了实验的重要性,实践是检验理论的最好方法。通过亲自动手设计和制作运算器,我们不仅对数字电路有了更深刻的理解,还增强了实验技能和动手能力。希望在未来的学习和科研中,能够继续保持这种探索精神,不断提高自己的实验能力和创新能力。 八、参考文献。

相关文档