文档库 最新最全的文档下载
当前位置:文档库 › 运算器组成实验

运算器组成实验

目录

==============================

一、实验目的-----------------------------------------------------------------------------------3

二、实验设备-----------------------------------------------------------------------------------3

三、实验任务-----------------------------------------------------------------------------------3

四、预备知识----------------------------------------------------------------------------------4

五、实验要求----------------------------------------------------------------------------------6

六、实验步骤---------------------------------------------------------------------------------7

七、具体实验电路分析,操作与数据记录----------------------------------------8

八、实验的问题与应对------------------------------------------------------------------ 16

九、体会与收获--------------------------------------------------------------------------16

一、实验目的

1、掌握带累加器的运算器实验。

2、掌握溢出检测的原理和实现方法;

3、理解有符号数和无符号数运算的区别,

4、理解基于补码的加/减运算实现原理

5、熟悉运算器的数据传输通路。

6、利用74181和74182以及适当的门电路和多路选择器设计一个运算,要求支持有符号数和无符号数运算,支持补码加/减运算,支持有符号数溢出检测等功能。

二、实验设备

JZYL—Ⅱ型计算机组成原理实验仪一台。

芯片:74LSl81:运算器芯片2片

74LS373:八D锁存器2片

其它基本器件若干。

自己设计一个电路和利用实验参考电路进行实验,实验要求先将多个运算数据事先存入存储器中,再由地址选中,选择不同的运算指令,进行运算,并将结果显示,还可以进行连续运算和移位,最后将最终结果回写入存储器中。

复习前两个实验电路中各个信号的含义和作用,重点是运算与存储器之间的数据通路

三、实验任务

1、利用74181设计8位运算器;

2、设计基于单符号位的溢出检测方法和实现电路

(要求能支持有符号数和无符号数加法运算的溢出检测。选择适当的数据验证你所设计的电路的功能。)

3、利用373芯片增加累加器功能

4、对上述电路进行综合集成,利用多路选择器设计电路,实现对有符号数(用补码进行计算)和无符号数运算、加/减运算的支持;

四、预备知识

1、运算器的相关知识

运算器是对数据进行加工处理的部件,它具体实现数据的算术运算和逻辑运算,所以又称算术逻辑运算部件,简称ALU,它是中央处理器的重要组成部分。计算机中的运算器结构一般都包含如下几个部分:加法器、一组通用寄存器、输入数据选择电路和输出数据控制电路等。SN74181是一个四位的算术逻辑运算单元,它的基本算术逻辑运算单元仍然以FA为基础,通过在FA输入端增加函数发生器改变输入数据的特性,使得这些数据经过FA后能实现更多和更复杂的算术、逻辑运算功能。

1、对74LS181的说明

74LS181是一个四位运算器。实验中要用多个如下图所示的异或门来实现数据的奇偶校验。

74LS181真值表:

2、对74SL373的说明:

八D 锁存器(3S,锁存允许输入有回环特性)

简要说明:

373为三态输出的八D 透明锁存器,共有54/74S373 和54/74LS373 两种线路结构型式,其主要电器特性的典型值如下(不同厂家具体值有差别):

373 的输出端O0~O7 可直接与总线相连。

当三态允许控制端OE 为低电平时,O0~O7 为正常逻辑状态,可用来驱动负载或总线。当OE 为高电平时,O0~O7 呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。

当锁存允许端LE 为高电平时,O 随数据D 而变。当LE 为低电平时,O 被锁存在

已建立的数据电平。

当LE 端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善400mV。引出端符号:

D0~D7 数据输入端

OE 三态允许控制端(低电平有效)

LE 锁存允许端O0~O7 输出端:

74LS373真值表

五、实验要求

1、作好预习

1)掌握运算器的数据传送通路和74181ALU的功能特性。

2) 熟悉ALU并行进位的工作原理和实现方法;

3) 掌握溢出检测的原理和实现方法;

4) 理解有符号数和无符号数运算;

5)在课外利用EDA软件先设计功能电路,并进行功能仿真

2、实验实施

1)分功能模块设计各功能单元电路,对设计进行详细的分析与说明

2)逐步将各功能模块集成

3)设计特定数据,验证各模块的功能,做好数据的记录工作

六、实验步骤

1、实验前的准备

1)复习有关运算器的内容,对数据通路的构成、数据在数据通路中的流动及控制方法有基本的了解。

2)熟悉电路中各部分的关系及信号间的逻辑关系

3)参考芯片手册,自己设计实验电路,画出芯片间管脚的连线图,标上引脚号,节省实验的时间。

4)对所设计的电路进行检查,重点是检查能否控制数据在电路中不同部件之间的传输。

2、实验步骤

实验可按照自己设计的电路或参考电路按照搭积木的方式进行。先完成运算器的数据通路部分,在运算器部分能够正确完成各类运算的基础上,再增加累加器、异常检测和运用求补器进行无符号数减法的功能。

其它补充:

第1步完成:至少验证4个算术运算、4个逻辑运算。

第2步完成:先增加溢出检测电路并验证

再增加373并验证

第3步完成:

1、再加一个373及灯,依次看到373灯的变化。

2、将下面一个373的输出代替“00101100”,然后交替使373工作,

可以看到累加器的效果。

第4步完成:增加求补逻辑

一个开关控制为真传或求补。仅算术加法时才用上求补。

七、具体实验电路分析,操作与数据记录分析

第一步:利用74181设计8位运算器

在进行第一步实验之前,检查芯片的好坏和导线的好坏。在检查74LS181时根据如下的功能图(图3)进行了两组数据验证,达到了预期的效果,芯片完好。验证结果如下表3(0表示L,1表示H)

表3

74LS181的功能表3:

验证后按照如下电路图(图4)连接两个74LS181芯片,连接后根据功能图3进行了如下的八组运算,如表4所示:

图4

具体电路如下所示:

八组运算结果如下表4所示,全部吻合(0表示L,1表示H):

第二步:设计基于单符号位的溢出检测方法和实现电路

溢出检测电路如图5所示:

溢出检测电路的实现规则:

设立一个二路选择器,当P=1时选择有符号数的运算,即如上图的溢出检测的结果为信号指示灯。

(1)P=1

有符号数的溢出检测原理:根据操作数和结果运算结果的符号位是否一致进行检测。因为只有两个同符号数相加时才会又可能产生溢出

设Xf,Yf未参加运算数的符号位,Sf为结果的符号位,V为溢出标志位,取V为1时表示发生溢出。

则可得实现溢出检测的逻辑表达式

V=X f Y f S f̅+X f̅Y f̅S f

即取最高位的X Y 与S比较。

(2)P=0无符号数的溢出检测原理:根据最高位是否发生进位来判断。

即实现溢出检测的逻辑表达式:

V=C f

设计好溢出电路之后,按步骤2的图5连接好溢出检测电路,根据图6连接好电路。

图6

具体电路图:

由于溢出只发生在算术运算中,故下表中的M Cn 只取0 1. (1)无符号数溢出检测结果如下表5:(0表示L,1表示H)

表5

(2)有符号数溢出检测结果如下表6:(0表示L,1表示H)

表6

经过以上两种不同的检测验证,证明了电路的溢出检测功能正确。

第三步:利用373芯片增加累加器功能

在这一步进行时既进行了累加功能,根据图7连接好累加电路

图7

具体电路图:

执行检测的步骤:

(1)将K0-K7全部置0,打开373锁存器1.

(2)第一组灯的结果为00101100,

(3)关闭锁存器1,打开锁存器2,得第二组灯的结果为00101100。

(4)关闭锁存器2,将K0—K7置00000010

(5)打开锁存器1,得灯的结果为00101110

(6)不断执行(3)(4)(5),发现实现了累加器的功能(每次加2)。

实验中将初始数据存入第一个373锁存器,然后将数据再送入第二个锁存器,第二个373再将数据送入和K0—K7的数相加,结果送入第一个373,并显示。再把加的结果循环送入第二个373锁存来进行下一步的加法,即相当于实现了累加,每次累加的值为K0-K7所表示的数。经过这一步的实验圆满完成了这一步的累加功能。

第四步:对上述电路进行综合集成,利用多路选择器设计电路,实现对有符号数

(用补码进行计算)和无符号数运算、加/减运算的支持;

设计求补电路如下图图8所示:

图8

求补电路工作原理:(1)当P=0时,则相当与输入无符号数。

(2)当输入负数时,取P=1,对每一个输入的信号与P取异或,将P取反输入到Cn作为初始进位。即达到了对输入的信号求补的运算。在累加的基础上,接入求补电路,如下图图9所示,连接起电路图。

具体电路图:

实验检测步骤:

(1)选择P是有符号还是无符号数的运算,然后将开关K0 - K7 输入一个数值。

(2)执行相应的运算,判断运算的结果是否正确,同时判断溢出检测的结果是否正确。

执行有符号数和无符号数加减,对有符号数和无符号数的求补选择,同时进行溢出的判断。并输出结果。执行后实验的结果在有符号数及无符号数求补及其相应的运算结果都是正确的,则实验是成功的。

综合以上四步电路设计及其分析,我们得到总体的电路图如下:

八、实验中碰到的问题和解决的方法

1)实验台上开关,灯泡问题

由于坏的很多,所以要一个一个的检验所有的开关,将坏掉的开关做上标记,并充分利用未坏掉的开关来满足实验对电路开关的要求;对于灯泡也可以这样,进行标记和处理。

2)经常出现导线和芯片坏掉的问题

解决的方法:每一根导线必须进行测试,以保证其可用性。而芯片使用前也要进行测试。不然可能会影响到整个实验。

3)连好线后,进行各种测试和实验时,发现与预计实验结果不符。

这个与实验的电路连接和导线,芯片,开关,灯泡的可用性都有关系,一旦出现问题,个人感觉就十分复杂了,返回一步一步的检查是很难的检查出来的,有时候老师也不一定能解决问题。如果能检查出来是哪一步出了问题最好,如果实在不行,那么只有拆了,然后重组。

九、实验体会和收获

这是计算机组成原理的第一个实验,虽然还有点陌生,但是有着数字逻辑的实验操作基础,而且本次实验电路图由老师直接提供,我们只需要按照电路图连接就可以了,但是就是如此,仍然遇到许多问题,就像老师所说,实验想要成功,必须要天时地利人和。有时候就一根断的导线,一个芯片引脚的问题导致全盘实验的失败。而返回检查电路很困难,有时候不得不重新拆线进而重新组装电路。所以要注重细节,一步一个脚印。这些往往成为实验成败的关键。

基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响。相信以后随着实验的增多,会更加深入理解组成原理。

实验一运算器实验

实验一运算器实验 简介:运算器是数据的加工处理部件,是CPU的重要组成部分,各类计算机的运算器结构可能有所不同,但是他们的最基本的结构中必须有算术/逻辑运算单元、数据缓冲寄存器、通用寄存器、多路转换器的数据总线的逻辑构件。 一、实验目的 1、了解算术逻辑运算器(74LS181)的组成和功能。 2、掌握基本算术和逻辑运算的实现方法。 二、实验内容 运用算术逻辑运算器74LS181 进行有符号数/无符号数的算术运算和逻辑运算。 三、实验元器件 1、算术逻辑运算器(74LS181)。 2、三态门(74LS244、74LS245)及寄存器(74LS27 3、74LS373)。 3、二进制拨码开关SW-SPDT 四、实验原理 图1.1运算器电路原理图 本实验的算术逻辑运算器电路如图 1.1所示:输入和输出单元跟上述实验相同:缓冲输入区八位拨码开关用来给出参与运算的数据,并经过三态门74LS245 和数据总线BUS相连,在控制开关SW_BUS处于高电平时允许输出到数据总线。 运算器则由两个74LS181以串行进位形式构成8位字长的算术/逻辑运算单元(ALU):ALU_L4B的进位输出端CN+4与ALU_H4B的进位输入端CN相连,使低4位运算产生的进位送进高4位运算中。其中ALU_L4B为低4位运算芯片,参与低四位数据运算,ALU_H4B为高4位运算芯片,参与高四位数据运算。ALU_L4B的进位输入

端CN通过三态门连接到二进制开关CN,控制运算器仅为,ALU_H4B的进位输出端CN+4经过反相器74LS04,通过三态门接到溢出标志位CF指示灯(CF=1,即ALU运算结果溢出)。 ALU 除了溢出标志位CF外,还有两个标志位:零标志位ZF(ZF=1,即ALU运算结果为0,ZF对应发光二极管点亮)和符号标志位SF(SF=1,即运算结果为负数;SF=0 即运算结果为正数或0对应发光二极管点亮)。 图 1.2 运算器通路图 ALU 的工作方式可通过设置两个74181芯片的控制信号(S0、S1、S2、S3、M、CN)来实现, 其74LS181逻辑功能表由表1-1给出,运算器ALU 的输出经过三态门(两片74LS244或一片74LS245)和数据总线BUS 相连。当二进制控制开关CBA=010状态时,通过138译码选通输出ALU_BUS运算器运行结果。运算器ALU 的两个数据输入端分别由两个数据暂存器(74LS273)DR1、DR2 锁存,74LS181 将DR1、DR2 内的数据作为上述表 1.1中参与运算的数 A 和B。由于DR1、DR2 已经把数据锁存,只要74LS181的控制信号不变,那么74LS181 的输出数据也不会发生改变。数据缓冲寄存器DR1、DR2 的输入端D0~D7连至8位数据总线BUS,在DR1_CLK和DR2_CLK 端出现上升沿跳变的时候,总线BUS的数据分别打入DR1、DR2锁存。

基本运算器实验

计算机科学与技术系 实验报告 专业名称_______ 计算机科学与技术_________ 课程名称________ 计算机组成原理__________ 项目名称________ 基本运算器实验__________ 班级_______________________________ 学号_______________________________ 姓名_______________________________ 同组人员_________________________________ 实验日期_________________________________ 一、实验目的与要求 实验目的 (1)了解运算器的组成结构 (2)掌握运算器的工作原理 实验要求 (1)实验之前,应认真准备,写出实验步骤和具体设计内容,否则实验效率会很低, 次实验时间根本无法完成实验任务;

(2)应在实验前掌握所以控制信号的作用,写出实验预习报告并带入实验室; (3)实验过程中,应认真进行实验操作,既不要因为粗心造成短路等事故而损坏设备,又要自习思考实验有关内容; (4)实验之后,应认真思考总结,写出实验报告,包括实验步骤和具体实验结果,遇到的问题和分析与解决思路。还应写出自己的心得体会,也可以对教学实验提出新的建议等。实验报告要上交老师。 二、实验逻辑原理图与分析 画实验逻辑原理图 逻辑原理图分析 上图为运算器原理图。如图所示运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A 和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM, 各部件对操作数进行何种运算由控制信号S3- S0和CN来决定(三选一开关),任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的 运算,还将置进位标志FC,在运算结果输出前,置ALU零标志FZ。ALU中所有模块集成在一片CPLD中。 ALU的输入和输出通过三态门74LS245连到CPU内总线上,另外还有指示灯标明进位标志FC和零标志F乙图中除T4和CLR其余信号均来自于ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4, CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供,其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B 为低有效,控制运算器的输出。其余为高有效。 暂存器A和暂存器B的数据以及进位标志FC零标志FZ和数据总线D7…D0能在LED灯上实时显示。亮表示1,灭表示0。LDA和LDB用于控制算逻部件的输入数据。满足LDA=1且T4传来脉冲信号时,数据将会传入暂存器A,同理当LEB=1且T4传来脉冲信号时,数据传入B暂存器。 逻辑运算部件由逻辑门构成,较为简单,可将两个数据按位进行与、或、异或,以及将一个数据的各位求非。有的运算器还能进行二值代码的16种逻辑操作。 算术运算部件最基本的操作是加法。一个数与零相加,等于简单地传送这个数。将一个数的代码求补,与另一个数相加,相当于从后一个数中减去前一个数。将两个数相减可以比较它们的大小。 移位运算部件采用的是桶形移位器,一般采用交叉开关矩阵来实现,每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1)对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0。

组成原理 运算器实验

《计算机组成原理》实验报告 专业: 学号: 学生姓名: 实验日期:

实验一 一、实验名称:运算器实验 二、实验目的: 1.掌握运算器的组成及工作原理。 2.了解运算器的组成结构。 3.掌握运算器的工作原理。 三、实验内容: 1.ALU 中所有模块集成在一片CPLD 中。 2.运算器部件由一片CPLD 实现 3.不同控制组合下的算术与逻辑运算的输出结果 四、实验原理: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B,三个部件同时接受来自A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN 来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU 的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU 零标志。ALU 中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2 所示。 五、实验设备:

PC 机一台,TD-CMA 实验系统一套,排线若干。 六、实验步骤: (1) 按图1-1-5 连接实验电路,并检查无误。图中将用户需要连接的信号用圆圈标明(其它实验相同)。 (2) 将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 (3) 打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 (4) 用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101(或其它数值),数据显示亮为‘1’,灭为‘0’。

实验一 运算器实验(接线参考)

实验一运算器实验 一、实验目的: 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程; 3.验证带进位控制的74LS181的功能。 二、预习要求: 1复习本次实验所用的各种数字集成电路的性能及工作原理; 2预习实验步骤,了解实验中要求的注意之处。 三、实验设备: EL-JY-II型计算机组成原理实验系统一套,排线若干。 四、电路组成: 本模块由算术逻辑单元ALU 74LS181(U7、U8、U9、U10)、暂存器74LS273(U3、U4、U5、U6)、三态门74LS244(U11、U12)和控制电路(集成于EP1K10内部)等组成。电路图见图1-1(a)、1-1(b)。 图1-1(a)ALU电路

图1-1(b)ALU控制电路 算术逻辑单元ALU是由四片74LS181构成。74LS181的功能控制条件由S3、S2、S1、S0、 M、Cn决定。高电平方式的74LS181的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。 四片74LS273构成两个16位数据暂存器,运算器的输出采用三态门74LS244。它们的管脚分配和引出端功能符号详见图1-3和图1-4。 图1-2 74LS181管脚分配表1-2 74LS181输出端功能符号 74LS181功能表见表1-1,其中符号“+”表示逻辑“或”运算,符号“*”表示逻辑“与”运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术减运算。

图1-3(a) 74LS273管脚分配图1-3(b)74LS273功能表

计算机组成原理实验报告说明

实验一运算器组成实验 一、实验目的 1、掌握运算器的组成及工作原理; 2、了解4位函数运算器74LS181的组合功能,熟悉运算器执行算术和逻辑操作的具体实现过程; 3、验证带进位控制的运算器功能。 二、实验设备 1、EL-JY系列计算机组成及系统结构实验系统一套 2、排线若干。 三、工作原理: 算术逻辑单元ALU是运算器的核心。集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。 三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。 四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。 四、实验内容:

验证74LS181运算器的逻辑运算功能和算术运算功能。 五、实验步骤 1、按照实验指导说明书连接硬件系统; 2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口: 1)、在数据总线上输入有效数据,按"Ldr1",数据送入暂存器1; 2)、在数据总线上输入有效数据,按"Ldr2",数据送入暂存器2; 3)、在S3...Ar上输入有效数据组合,按"ALU功能选择端",运算器按规定进行运算,运算结果送入数据缓冲器; 4)、按"ALU_G",运算结果送入数据总线。 5)、执行完后,按"回放",可对已执行的过程回看。 6)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。 六、实验结果

计算机组成原理-运算器实验

实验一运算器实验 计算机的一个最主要的功能就是处理各种算术和逻辑运算,这个功能要由CPU 中的运算器来完成,运算器也称作算术逻辑部件ALU。首先安排基本运算器实验,了解运算器的基本结构。 1.1实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 1.2实验设备 PC机一台,Digilent Nexys 4TM开发板,Xilinx Vivado开发套件。 1.3实验原理 Digilent Nexys 4TM开发板的通用I/O设备电路图如图1.1所示:

图1.1Digilent Nexys 4TM开发板的通用I/O设备电路图如上所示,Nexys4 DDR板包括2个三色LED,16个滑动开关,6个按钮开关,16个单体LED和1个数字-8的七段显示器。为了防止粗心大意的短路(假如一个FPGA针脚分派到一个按钮开关或者滑动开关被粗心大意的定为输出时将发生短路)损害,按钮开关和滑动开关通过串联电阻连接到FPGA。5个按钮开关分派到1个“+”信号的配置是瞬时开关,在正常情况下,这些瞬时开关不用时产生低信号输出,被压时产生高信号输出。另一方面,“CPU RESET”红色按钮不用时产生高信号输出,被压时产生低信号输出。“CPU RESET”按钮常常在EDK(嵌入式开发套件)设计中用于重置进程,但你也可以把它当为常用按钮开关使用。滑动开关根据他们的位置产生固定的高或低信号输入。 16个单体高效LED通过330欧姆的电阻阳极连接到FPGA,所以当其各自I/O 针脚应用到逻辑高电压时他们应该是打开的。不被用户访问的额外LED表示电源,FPGA编程状态和USB和以太网端口状态。 控制显示模块的七段显示器的原理图如图1.2所示:

计算机组成原理实验-运算器实验报告

1.逻辑运算 (1)S3S2S1S0=0000时,F=A,例如:当A=00010101,B=01101001时 F=00010101; 当A=01011000时,B=01011110时 F=01011000 (2)S3S2S1S0=0001时,F=B,例如: 当A=10110111,B=01110010时 F=01110010 当A=11100011,B=01010110 F=01010110 (3)S3S2S1S0=0010时,F=AB。例如:当A=10110010,B=10010111时 F=10010010 当A=11000011,B=00111100时 F=00000000 (4)S3S2S1S0=0011时,F=A+B。例如:当A=00110101,B=11001010时, F=11111111 当A=01011011,B=11000101时 F=11011111 (5)S3S2S1S0=0100时,F=/A。例如:

当A=00110100,B=11010010时, F=11001011 当A=01001111,B=10100101时 F=10110000 2.移位运算 (1)S3S2S1S0=0101时,F=A逻辑右移B(取低三位)位。例如: 当A=01000101,B=00000010时, F=00010001 当A=01011011,B=00000101时 F=00000010 (2)S3S2S1S0=0110时,F=A逻辑左移B(取低三位)位。例如: 当A=00110101,B=00000011时, F=10101000 当A=01101011,B=00000001时 F=11010110 (3)S3S2S1S0=0111时,F=A算术右移B位。例如:当A=01110101,B=00000010时, F=00011101 当A=01000111,B=00000101时

运算器组成原理实验报告

运算器组成原理实验报告 运算器组成原理实验报告 一、引言 运算器作为计算机中的重要组成部分,承担着数据处理和运算任务。本实验旨 在通过实际操作,深入了解运算器的组成原理和工作机制。 二、实验目的 1. 理解运算器的基本概念和功能。 2. 掌握运算器的组成原理及其实际应用。 3. 学会使用逻辑门电路构建简单的运算器。 三、实验器材与步骤 1. 实验器材: - 逻辑门电路芯片(如AND、OR、NOT等); - 运算器实验板; - 连接线等。 2. 实验步骤: 1) 连接逻辑门电路芯片到运算器实验板上的相应位置。 2) 根据实验要求,设置逻辑门的输入信号。 3) 运行实验板,观察输出结果。 4) 记录实验数据,并进行分析。 四、实验原理 运算器由多个逻辑门电路组成,主要包括加法器、减法器、乘法器和除法器等。这些逻辑门电路通过接收输入信号并进行逻辑运算,最终输出结果。

1. 加法器 加法器是运算器的基本组成部分,用于实现数字的加法运算。它由多个逻辑门电路组成,其中包括半加器和全加器。半加器用于实现两个数字的个位相加,全加器则用于实现多位数的相加。 2. 减法器 减法器是运算器的另一个重要组成部分,用于实现数字的减法运算。它通过将减法转化为加法运算来实现。减法器的输入包括被减数、减数和借位,输出为差值。 3. 乘法器 乘法器用于实现数字的乘法运算。它通过多次的加法运算来实现乘法。乘法器的输入包括被乘数和乘数,输出为积。 4. 除法器 除法器用于实现数字的除法运算。它通过多次的减法运算来实现除法。除法器的输入包括被除数和除数,输出为商和余数。 五、实验结果与分析 根据实验步骤进行操作后,我们观察到运算器实验板上的LED显示屏显示出了正确的运算结果。通过分析实验数据,我们得出了以下结论: 1. 运算器能够正确地进行加法、减法、乘法和除法运算,验证了其组成原理的正确性。 2. 运算器的性能受到逻辑门电路的质量和连接线的稳定性等因素的影响。在实际应用中,需要保证这些因素的稳定性和可靠性,以确保运算器的正常工作。 六、实验总结

运算器实验实验报告

八位运算器实验分析 1,运算器是计算机中的数据处理部件,其组成部件总体来说是:算术逻辑运算单元ALU、多路选通器、累加器ACC、通用寄存器和专用寄存器。运算器可读取内存单元的数据,对其进行运算,并将结果写入内存单元;还可向内存发出访问内存的有效地址。 在完成八位运算器实验时,利用VHDL语言将八位运算器的所有计算功能编写入一个程序,使其可以完成逻辑和算术运算的各种功能。 2,八位运算器的实验原理框图 3,VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exp_r_alu is port( clk: in std_logic; sw_bus,r4_bus,r5_bus,alu_bus:in std_logic; lddr1,lddr2,ldr4,ldr5: in std_logic; m,cn: in std_logic; s: in std_logic_vector(3 downto 0); k: in std_logic_vector(7 downto 0); d: inout std_logic_vector(7 downto 0)); end exp_r_alu ; architecture rtl of exp_r_alu is signal dr1,dr2,r4,r5,aluout,bus_reg:std_logic_vector(7 downto 0); signal sel:std_logic_vector(5 downto 0);

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

计算机组成运算器实验报告

计算机组成运算器实验报告 计算机组成运算器实验报告 引言: 计算机是当今社会不可或缺的工具,而计算机的核心部件之一就是运算器。运 算器是计算机中负责执行算术和逻辑运算的部件,它的设计和实现对计算机的 整体性能起着至关重要的作用。本实验旨在通过设计和搭建一个简单的运算器,深入理解和掌握计算机组成原理。 一、实验目的 本次实验的主要目的是通过搭建一个基于逻辑门的8位运算器,了解和掌握运 算器的基本原理和设计方法。具体目标如下: 1. 学习和理解运算器的基本功能和工作原理; 2. 掌握逻辑门的基本知识和使用方法; 3. 设计和实现一个具有加法、减法、乘法和除法功能的8位运算器; 4. 验证运算器的正确性和可靠性。 二、实验原理 1. 运算器的功能 运算器是计算机中执行算术和逻辑运算的核心部件,其主要功能包括加法、减法、乘法和除法等。通过逻辑门的组合和控制信号的输入,可以实现各种不同 的运算操作。 2. 逻辑门的基本原理 逻辑门是运算器中最基本的构建单元,它根据输入信号的不同,产生相应的输 出信号。常见的逻辑门包括与门、或门、非门等。通过逻辑门的组合和级联,

可以构建出更复杂的逻辑电路,实现各种逻辑运算。 3. 运算器的设计方法 运算器的设计方法主要包括两种:组合逻辑设计和时序逻辑设计。组合逻辑设计是指根据输入信号的组合,直接输出相应的结果。时序逻辑设计是指根据输入信号的变化过程,按照一定的时序规则输出结果。 三、实验过程 1. 运算器的整体设计 根据实验要求,我们需要设计一个具有加法、减法、乘法和除法功能的8位运算器。首先,我们需要确定运算器的输入和输出信号的格式和位数。然后,根据运算操作的特点,选择合适的逻辑门进行组合和级联,实现各种运算操作。 2. 逻辑门的选择和连接 在设计运算器时,我们需要根据实际需求选择适当的逻辑门。例如,对于加法操作,我们可以选择全加器进行设计;对于减法操作,可以选择加法器和取反器进行设计;对于乘法和除法操作,可以选择移位寄存器和与门进行设计。通过逻辑门的连接和控制信号的输入,可以实现各种运算操作。 3. 运算器的验证和测试 在完成运算器的设计和搭建后,我们需要进行验证和测试,确保其功能的正确性和可靠性。可以通过输入不同的测试数据,观察输出结果是否符合预期。同时,还可以通过性能测试和稳定性测试,评估运算器的性能和可靠性。 四、实验结果与分析 经过反复的设计和调试,我们成功搭建了一个具有加法、减法、乘法和除法功能的8位运算器。在测试过程中,我们输入了不同的测试数据,并观察了输出

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

计算机组成原理实验

计算机组成原理实验指导 实验一运算器部件实验 一、实验目的 ⒈掌握简单运算器的数据传输方式。 ⒉验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。三、实验原理 实验中所用的运算器数据通路如图2-1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)以8芯扁平线方式和数据总线相连,运算器的2个数据输入端分别由二个锁存器(74LS273)锁存,锁存器的输入亦以8芯扁平线方式与数据总线相连,数据开关(INPUT DEVICE)用来给出参与运算的数据,经一三态门(74LS245)以8芯扁平线方式和数据总线相连,数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。

图2-1-1运算器电原理图 图2-1-1中T2、T4为时序电路产生的节拍脉冲信号,通过连接时序启停单元时钟信号“”来获得,剩余均为电平控制信号。进行实验时,首先按动位于本实验装置右中侧的复位按钮使系统进入初始待令状态,在LED显示器闪动位出现“P.”的状态下,按【增址】命令键使LED显示器自左向右第4位切换到提示符“L”,表示本装置已进入手动单元实验状态,在该状态下按动【单步】命令键,即可获得实验所需的单脉冲信号,而LDDR1、LDDR2、ALU-B、SW-B、S3、S2、S1、S0、、M各电平控制信号用位于LED显示器上方的26位二进制开关来模拟,均为高电平有效。 四、实验连线 图2-1-2实验连线示意图 按图2-1-2所示,连接实验电路: ①总线接口连接:用8芯扁平线连接图2-1-2中所有标明“”或“”图案的总线接口。 ②控制线与时钟信号“”连接:用双头实验导线连接图2-1-2中所有标明“”或“”图案的插孔(注:Dais-CMH的时钟信号已作内部连接)。 五、实验系统工作状态设定 在闪动的“P.”状态下按动【增址】命令键,使LED显示器自左向右第4位显示提示符“L”,表示本装置已进入手动单元实验状态。 在“L”状态下,如图2-1-3所示系统用位于实验系统“二进制开关单元”的26只拨动开关来模拟与微控制器相对应的控制信号。用手动加载正逻辑控制电平(即高电平信号“H”)和按【单步】命令键产生的单周期4拍时序信号T1、T2、T3、T4的方法来实现和完成各单元实验所需的控制信号操作。

(整理)计算机组成原理实验指导书

计算机组成原理实验指导 四川大学计算机学院 西安唐都科教仪器公司

实验一运算器组成实验 (一)算术逻辑运算实验 一.实验目的 1.掌握简单运算器的数据传送通路。 2.验证运算功能发生器(74LS181)的组合功能。 二.实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 三.实验内容 1.实验原理 实验中所用的运算器数据通路如图1-1所示。其中运算器由两片74LS181以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74LS245)和数据总线相连,运算器的两个数据输入端分别由二个锁存器(74LS373)锁存,锁存器的输入连至数据总线,数据开关(“INPUT DEVICE”)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连,数据显示灯(“BUS UNIT”)已和数据总线相连,用来显示数据总线内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT”的T4接至“STATE UNIT”的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3、S2、 S1、S0、Cn、M、LDDR1、LDDR2、ALU-B、SW-B各电平控制信号用“SWITCH UNIT”中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B为低电平有效,LDDR1、LDDR2为高电平有效。

图1-1 运算器数据通路 2.实验步骤 (1) 连接实验线路,仔细查线无误后,接通电源。 (2) 用二进制数码开关向DR 1和DR 2寄存器置数。具体操作步骤图示如下: ALU-B=1 LDDR 1=1 LDDR 1=0 SW-B=0 LDDR 2=0 LDDR 2=1 T4= T4= 检验DR1和DR2中存的数是否正确,具体操作为:关闭数据输入三态门(SW-B=1),打开ALU 输出三态门(ALU-B=0),当置S 3、S 2、 S 1、S 0 、M 为11111时,总线指示灯显示DR1中的数,而置成10101时总线指示灯显示DR2中的数。 (3) 验证74LS181的算术运算和逻辑运算功能(采用正逻辑) 在给定DR1=65、DR2=A7的情况下,改变运算器的功能设置,观察运算器的输出,三态门 数据开关 (01100101) ) 寄存器DR1 (01100101) 数据开关 (10100111) 寄存器DR2 (10100111)

相关文档