文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术课程教学大纲

数字电子技术课程教学大纲

数字电子技术课程教学大纲
数字电子技术课程教学大纲

数字电子技术课程教学大纲(试行)

课程名称:数字电子技术

英文名称:Digital Electronic Technique

学时数:45 其中实验学时数:15

课外学时数:14

适用专业:2006级电气自动化技术

1.大纲制定参考依据

(1)教高[2006]16号关于全面提高高等职业教育教学质量的若干意见。

(2)科技学院《2006级电气自动化技术专业教学计划说明》。

(3)2006年科技学院关于编制与修订高职课程教学大纲的要求。

2. 课程的性质、目的和任务

数字电子技术是电气自动化技术专业的重要专业技术基础课。是培养硬件应用能力的工程类课程,是电气技术训练的基本入门课程,构建学生电子技术的基本理论、基本技能和培养学生应用与创新能力。一方面为后续专业知识技术的学习奠定基础,另一方面培养训练学生电子技术方面的实践技能。它直接涉及学生的专业培养质量和职业能力。既是学生在今后较长时间赖以吸收新知识和自我完善发展及接受终身教育的专业基础课程。又是培养技术技能型人才的专业技术基础课程。

在教学过程中要注重理论联系实际,加强实践环节,重视工程观点,着重于电子元器件和基本电子电路的实际应用能力训练。将理论教学与实践教学有机融为一体。改变传统的“一支粉笔,一本书,一块黑板,一讲到底”的理论教学模式。改革教学模式、教学方法,以培养学生能力为核心、为主线。处理好近期的专业“必需够用”和将来的发展“迁移可用”的关系,

通过本课程的教学,使学生获得必要的数字电子技术方面的基本理论、基本知识和基本技能。培养学生分析问题和解决问题的能力。锻炼学生的工程实践能力。

3. 课程教学容与知、技、能点及教学基本要求

针对专业需要优化整合教学容,建立“能力包”化的教学容模块。本课程体系按照能力模块划分为六个模块。

(1)数字逻辑基础模块

该模块容是分析使用数字逻辑电路所必须的基础能力。是掌握后续容的必要知识。

(2)数字逻辑电路基本逻辑器件模块

该模块学习组成数字逻辑电路的基本逻辑器件的功能和应用技术知识。

要求掌握基本逻辑器件功能、测试方法、正确识别与使用基本逻辑器件的能力。

(3)数字逻辑电路分析模块

该模块学习数字逻辑电路的读图、分析、画图的知识。

要求了解组合逻辑电路与时序逻辑电路的分析方法。掌握数字逻辑电路的连接、调试、综合分析能力。能够正确使用基本逻辑器件构成综合型电路,并且正确使用测试仪器测试电路参数,能对电路进行分析,解决出现的问题。

(4)数字逻辑电路设计模块

该模块学习组合逻辑电路与时序逻辑电路的设计方法。

要求了解组合逻辑电路与时序逻辑电路设计的一般过程和设计方法。

掌握常用集成逻辑器件应用电路的设计方法,并且能够理论联系实际,用实际器件正确实现电路功能。

(5)常用集成电路应用模块

该模块学习集成数字逻辑电路基本知识。

要求掌握常用集成逻辑器件:寄存器、计数器、编码器、译码器等集成器件的功能。掌握用集成逻辑器件构成的电路的连接、调试、分析能力。

(6)选修模块

该模块容是由于学时受限制而不能在教学计划学习的数字电子技术容。

包括:半导体存储器与可编程逻辑器件;数/模与模/数转换器;脉冲波形的产生与整形。

在以上各个模块的教学过程中注重理论紧密结合实践的教学理念,达到强化培养能力的目标。通过每个模块的教学过程完成一定的“知、技、能”点的学习目标后就进行阶段考核,各个模块的考核成绩构成本课程总成绩。

4. 学时安排表

5. 采用的教学方法及手段

教法与学法建议

《数字电子技术》这门课的主要特点是知、技、能点多;运用的逻辑分析方法与其他电路分析方法不同,具有一定的独特性;实践性强。

为了提高教学质量,教师应尽量采用先进的教学手段和教学方法,将比较抽象的容形象生动地表达出来。在教学容的处理上,对集成电路工作原理的分析尽量予以简化。考虑到学生的个体差异,要加强对差生的辅导以及对优生的指导。教师应该采用灵活多样的教学方法,因材施教。具体包括:启发式教学法、讨论研究式教学法、多媒体教学法、现场教学法、实物教学法、案例教学法等。激发学生的学习兴趣和求知欲,以增进学习效果,提高学习质量。

在教学方式上,根据不同模块的课程容不同的特点,采用多元化的教学模式、教学方法,包括“问题教学模式”、“探究-发现模式”、“开放课堂教学模式”等。针对不同模块学习容和不同学习对象,采取不同模式。

在理论教学中密切联系实验与实际,将理论教学与实验教学有机融为一体。在理论课上布置的作业,能以实验方式完成的要到实验室完成。鼓励学生展现个人个性,开发学生的创新能力,将知识转化为能力,支持学生自主开展实验创新制作。建立课学习与课外学习紧密结合的教、学方式,将课外学习项目,与实验室开放相结合,与学习任务相结合。以实验促进理论知识的消化吸收。以理论引导学生实践能力的提高。

要学好电子技术这门课程,建议按照“预习——听课——课后复习、实验——完成作业(包括实践性作业)——运用学到的知识研究实际电路锻炼应用能力”的程序进行学习。在学习过程中,要分清掌握、理解和了解几个不同的层次,抓住重点容;要重视电子实验与实训等实践环节。6.实践教学要求

(1)课实践教学要求

(2)课外实践要求(见下表)

课外实践包括:实验室开放中进行的可选实践项目、实践型作业、学生自拟课题的实践。

除了上述课实验项目外可选项目如下表

7. 本课程与其他课程的联系

先修课:《模拟电子技术》

后续课:《单片机接口技术》,《计算机应用》,《PLC应用》,《传感器原理与应用》,《微机原理与应用》,《电气测量》等.

8. 考核方式与考核要求

8.1考核方式:

一、理论考核成绩占课程总成绩的45%。

分为两次考

(1)理论基础模块考核占15%,容:数字逻辑基础;

(2)理论综合模块考核占30%,容:基础器件、数字电路的分析方法、数字逻辑电路的设计、常用集成电路的应用。

二、实践考核占课程总成绩的45%。

(1)实践基础模块考核15%,容:课实验--门电路、触发器功能的测试。

(2)实践综合模块考核占30%,容:用译码器的应用、数据选择器的应用、用集成计数器构成N进制计数器。

三、平时作业(含实践性作业)、出勤、课堂问答与讨论考核占总成绩的10%。

8.2考核方法

一、理论考核采取闭卷考核的方式,每次考试时间为一学时。

二、实践考核采取随机抽取试题的方式考核。一人一组独立完成。

三、补考与加考:理论考核与实践综合模块考核在总成绩不及格情况下可以有一次补考机会;总成绩及格学生经过个人申请可以给予一次加考机会,最终成绩取高分记入课程成绩。

8.3 考核要求

一、理论考核(笔试)要求

笔试主要考核学生运用知识的能力。

笔试分数的各部分比例大体是:识记占20% ,领会占30% ,简单应用占30% ,综合应用占20% 。

笔试命题应覆盖到各章(不含绪论课、选教课),必须突出重点。试题的难度分为:易、一般、较难、难四个等级,其分数比例一般是3∶3∶3∶1 。

二、实践考核要求

主要考核实际动手能力;理论与实践相结合的能力;应用能力。结合不同考核容确定知、技、能点的考核要求。具体见下表:

9.教材及参考书目

教材:《数字电子技术》高建新主编机械工业2006年7月第一版

《电子技术实验与实训》高建新雷少刚主编机械工业2006年8月第一版

参考书目:《数字电子技术基础》(第四版)阎石主编高等教育

《数字电子技术》志忠主编高等教育2004年2月第二版

制定:信息工程系电子教研室

执笔人:高建新

审定人:电子教研室全体教师

负责人:高建新

时间:2007-8-20

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

电工电子技术课程教学大纲

电工电子技术课程教学大纲

一、课程的地位、目的和任务 本课程地位:掌握实验室常用电工电子仪器的使用方法;掌握电子技术必要的基本理论、基本知识和基本技能;了解电子技术的应用和发展概况;为学习后续课程以及从事与本专业有关的工程技术等工作打下一定的基础。 本课程目的: 本课程包含两大方面的内容,即电工技术与电子技术,目的是培养学生掌握电工技木和电子技术的基础理论知识和实验技能,并在实验实月训基础上,能根据机电设备的运行要求,完成继电器-接触器控制电路的设计与调试。 本课程任务: 1.掌握电工技术领域中基本理论、基本知识和基本分析方法;初步掌握一般电路和电子电路的分析方法。 2.了解常用电子器件的作用和功能,并能正确使用 3.受到必要的实验技能训练,能使用最常用的电工电子仪表,能独立完成不太复杂的电工电子实验,养成严谨的科学作风。 4.了解电工电子技术领域中的新理论、新技术、新知识。 二、本课程与其它课程的联系 前修课程:高等数学、普通物理。一 后修课程:单片机原理与接口技术、机电传动与控制等。 三、教学内容及要求 教学要求: 第一章电路的基本概念和基本定律

第二章电路的稳态分析 教学要求: 通过支路电流法、结点电压法、叠加定理、戴维南 定理、诺顿定理的学习;深入理解正弦电压与电流;正 弦量的相量表示法;电阻、电容、电感、兀件的交流电 路;电阻、电感与电容串联的交流电路;复杂交流电路 的分析与计算;功率因数的提高;三相电源;负载星形 联接的三相电路;负载三角形联接的三相电路;三相电 路的功率。 一 重点:戴维南定理;正弦量的相量表示法;电阻、 电感与电容串联的交流电路;三相电源;三相电路的功 率。、 、亠、 亠 难点:电阻、电感与电容串联的交流电路;复杂交 流电路的分析与计算;负载星形联接的三相电路。 教学内容: 模型通过电路的基本概念及和电的作用与號部分姆路 律;电源有载工作、开路与短路;基尔霍夫定律;电路 律;电源有载工作、开路与短路;基尔霍夫定律; 中电位的概念及计算等 重点:欧姆定律;基尔霍夫定律;电路中电位的概 念及计算 难点:电路的基本定律、电路的基本分析方法。 教学内容: 概念 第一节电路的基本 (四) 路元件 电路的组成及其作用 电路模型 电路的基本物理量及其参考方向 电气设备的额定值及电路的工作状态 第二节基本理想电 (四) (五 ) 电阻元件 电容元件 电感兀件 电压源 电流源 第三节基尔霍夫定 基尔霍夫电流定律

数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲 课程名称:数字系统与逻辑设计课程代码:TELE1005 英文名称:Digital System and Logic Design 课程性质:专业必修课程学分/学时:3.5/72 开课学期:第3学期 适用专业:微电子科学与工程、电子科学与技术等 先修课程:电路分析 后续课程: 开课单位:电子信息学院课程负责人:黄旭 大纲执笔人:黄旭大纲审核人:X 一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平) 课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。 教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下: 1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】 2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻 辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】 3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完 成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】 4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】 二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

电子技术专业-数字电子技术B大纲

《数字电子技术B》课程教学大纲 课程代码:030031106 课程英文名称:Digital Electronic Technology B 课程总学时:48 讲课:48 实验:0上机:0 适用专业:自动化学院电子科学与技术,信息学院计算机科学与技术 大纲编写(修订)时间:2017.11 一、大纲使用说明 (一)课程的地位及教学目标 1. 本课程是电子科学与技术专业的专业基础课,是必修课。 2.通过本课程的学习,使学生熟悉数字电路的基本原理及特性并且在此基础之上能够掌握其分析方法和设计方法。学习数字电子技术课程,对培养学生的科学思维能力,树立理论联系实际的工程观点和提高学生分析和解决问题的能力,都有及其重要的作用。 (二)知识、能力及技能方面的基本要求 1.通过本课程的学习使学生掌握数字电路的基本原理。 2.掌握逻辑代数分析的理论方法,使学生掌握组合逻辑电路,时序逻辑电路分析与设计的基本方法。 3. 使学生了解数字系统设计方法。 (三)实施说明 课程各章节内容在重点、深度和广度方面的说明: 1.用真值表、逻辑表达式、逻辑图、波形图、卡诺图、状态图等描述逻辑问题的方法。 2.化简或变换逻辑函数的公式法和卡诺图法。 3.TTL和CMOS门电路的特性及特点。 4.掌握组合逻辑电路的分析方法与设计方法。 5.掌握使用MSI实现简单数字系统的一般方法。 6.掌握各种基本、同步以及边沿触发器使用方法和基本原理。 7.掌握时序逻辑电路的分析和设计方法。 8.掌握集成计数器的设计和使用方法。 (四)对先修课的要求 本课程的先修课为:《电路》及《模拟电子技术》(可与模拟电子技术并行)。 (五)对习题课、实验环节的要求 1.对重点、难点章节应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及设计方面的内容,作业要能起到巩固理论,掌握计算方法和技巧,提高分析问题、解决问题能力,熟悉标准、规范等的作用,对作业中的重点、难点,课上应做必要的提示,并适当安排课内讲评作业。学生必须独立、按时完成课外习题和作业,作业的完成情况应作为评定课程成绩的一部分。 (六)课程考核方式 1.考核方式:考试。 2.考试目标:重点考核本课程的基本概念、基本知识、基本技能和知识应用能力。 3.成绩构成:本课程的总成绩主要由三部分组成:平时成绩(包括作业情况、出勤情况、小测验、课堂提问等)占20%,期末考试成绩占80%。

《电子技术实践》课程教学大纲

《电子技术实践》课程教学大纲 一、课程基本情况 适用专业:高职高专电子、电信、电气、自动化 修课方式:必修 总学时数:40 考核方式:考查 教材:《电子技术实践与训练》廖先芸(高等教育出版社) 教学参考书: 叶致诚《电子技术基础实验》高等教育出版社 1995 孙梅生《电子技术课程设计》高等教育出版社 1995 陈有卿《新颖电子制作138例》人民邮电出版社 1998 钟长华《电子技术选修实验》清华大学出版社 1995 陈大钦《电子技术选修实验》(第2版) 高等教育出版社 2002 卢庆林《数字电子技术基础实验与综合训练》高等教育出版社 2002 二、课程的性质、任务和目的 本课程是电气、电子类专业的技术实践课之一,是实现理论与实践结合、培养学生智力技能的重要媒介。本课程的任务是:进行比较系统的电子技术实验实践能力和技能的培养,使学生具有较强的电子技术工程应用能力。 通过本课程的学习,在基本能力和基本技能方面应达到以下要求: 1.实验技能方面 (1)正确和熟练使用以下常用电子仪器仪表; 电压表、电流表、万用表 示波器、信号发生器、直流稳压电源 (2)掌握以下基本电量的测量和测试方法: 电压和电流的有效值和峰值 正弦交流电压信号的峰值、频率 多谐振荡信号的峰值、频率和占空比 2.在工程应用能力方面 (1)对基本和常用元、器件的识别和选择应用:

能熟练地识别色环电阻的阻值、精度、功率; 能熟练地根据标识判别电容的容量、极性、耐压; 能用万用表判别二极管、三极管的好坏、极性等; 能根据管脚图正确应用线性集成电路、常用数字集成电路; 能正确使用逻辑功能相同的TTL电路和CMOS电路。 (2)对基本电路的结构和应用: 熟悉三极管组成的基本放大电路结构,掌握静态工作点是调试方法、电压放大倍数、输入和输出电阻、频率特性的测试方法; 熟悉开关电路的结构,掌握开关状态的调试方法; 掌握普通及发光二极管的限流电阻、三极管基极电阻的计算和选择方法; 熟悉几种常用多谐振荡器的电路形式。 (3)查阅电子器件手册并根据技术要求选用合适元件的能力。 (4)借助辅助资料,读懂一般的电子线路原理图的能力。 (5)独立组装中、小电子系统的能力;分析、寻找和排除应用电路中常见故障的初步能力。 (6)独立写出有理论分析的、实事求是的、文理通顺的、字迹端正的实验报告。 三、课程的主要内容与学时分配 实验方法和技能 4 仪器及元器件基本知识2 电子电路的故障分析与排除2 验证性实验 8 基本放大电路 2 RC正弦波振荡电路2 三端集成稳压器 2 集成门电路特性2 应用性实验选题参考* 26 课题一电平指示电路2 课题二光控开关和报警电路2 课题三红外线光电开关电路2 课题四有线对讲机电路2

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电子技术教学大纲

一、课程性质与任务 本课程是中等职业学校电类专业的一门基础课程。其任务是:使学生掌握电子信息类、电气电力类等专业必备的电子技术基础知识和基本技能,具备分析和解决生产生活中一般电子问题的能力,具备学习后续电类专业技能课程的能力;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 使学生初步具备查阅电子元器件手册并合理选用元器件的能力;会使用常用电子仪器仪表;了解电子技术基本单元电路的组成、工作原理及典型应用;初步具备识读电路图、简单电路印制板和分析常见电子电路的能力;具备制作和调试常用电子电路及排除简单故障的能力;掌握电子技能实训,安全操作规范。 结合生产生活实际,了解电子技术的认知方法,培养学习兴趣,形成正确的学习方法,有一定的自主学习能力;通过参加电子实践活动,培养运用电子技术知识和工程应用方法解决生产生活中相关实际电子问题的能力;强化安全生产、节能环保和产品质量等职业意识,养成良好的工作方法、工作作风和职业道德。 三、教学内容结构 教学内容由基础模块和选学模块两部分组成。 1. 基础模块是各专业学生必修的基础性内容和应该达到的基本要求,教学时数为84学时。 2. 选学模块是适应不同专业需要,以及不同地域、学校的差异,满足学生个性发展的选学内容,选定后即为该专业的必修内容,教学时数不少于12学时。 3. 课程总学时数不少于96学时。 四、教学内容与要求 基础模块 第一部分模拟电子技术 教学单元教学内容教学要求与建议 二极管及其应用二极管的特性、结构与分类通过实验或演示,了解二极管的单向导电性; 了解二极管的结构、电路符号、引脚、伏安特性、主要参数,能在实践中合理使用二极管;了解硅稳压管、发光二极管、光电二极管、变容二极管等特殊二极管的外形特征、功能和实际应用; 能用万用表判别二极管的极性和质量优劣 整流电路及应用通过示波器观察整流电路输出电压的波形,了解整流电路的作用及工作原理; 能从实际电路图中识读整流电路,通过估算,会合理选用整流电路元件的参数; 通过查阅资料,能列举整流电路在电子技术领域的应用; 搭接由整流桥组成的应用电路,会使用整流桥 滤波电路的类型和应用能识读电容滤波、电感滤波、复式滤波电路图; 通过查阅资料,了解滤波电路的应用实例; 通过示波器观察滤波电路的输出电压波形,了解滤波电路的作用及其工作原理;

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

数字电子技术教学大纲

《数字电子技术》教学大纲 (课程编号2932120 ) (学分 4 学时51 ) 第一部分说明 一、课程的性质与任务 《数字电子技术》是物理教育专业、应用物理专业、教育技术专业的必修技术基础课,该课程不仅具有自身的理论体系而且是一门实践性很强的课程。本课程的任务是使学生掌握数字电子电路的基本工作原理、分析方法和基本实验技能。为深入学习后续课程和从事有关电子技术方面的实际工作打下基础。 二、课程的教学基本要求 1、数字电子技术是发展很快的学科,但其基本理论已经形成了相对稳定的体系,教学上要按照基础知识储量和学科发展增量统筹考虑的原则构建教学内容体系,教学重点放在学习、掌握基本概念、基本分析和设计方法上,并及时跟踪电子技术学科前沿的进展,为学生学习、掌握现代科学技术打下坚实的基础。 2、数字电子技术是实践性很强的技术基础课,在教学安排上将实验课作为课程内实验环节,理论课和实验课同步进行,使理论实际的联系更加密切。综合培养学生看电路图能力、电路分析计算能力、选择设计方案和器件能力、电路设计及调试能力。 三、与其它课程的关系 先修课程为《电磁学》、《模拟电子技术》,本课程为学习后续课程《微机原理与应用》打下必要的基础。 四、课程的教学要求层次 课程教学要求分掌握、理解、了解三个层次。 1. 掌握:要求学生能够深刻理解所学的内容并能够灵活运用。 2. 理解:要求学生能够较好地理解所学内容。 3. 了解:要求学生能够知道所学的有关内容。 五、教学环节设计方案 (一)、学时分配 课程总学时85学时,其中理论课51学时,实验课34学时。学时分配如下:

* 实验课学时分配,见数字电子技术实验教学大纲。 (二)、教学方法 1、主讲式教学方法 基础知识以教师讲授为主,采用主讲式教学方法,目的是引导学生正确认识、理解、掌握课程的基础知识,教会学生分析、研究数字电子电路的方法。 2、讨论式教学方法 拓宽性后续知识、部分习题采用讨论式教学方法,目的是激励学生自主学习,培养学生知识综合、知识应用、知识迁移提高的能力,知识传授和知识能力培养并重。 3、研究式教学方法 提高性知识,采用研究式教学方法,目的是激励和培养学生自学能力、研究问题的能力。 4、答疑、激疑质疑式教学方法 在辅导、答疑的辅助教学环节中,采用答疑的方法解决学生学习过程中的疑难问题,又针对某些问题逐步深入地提问,向学生质疑、激疑,以激发学生对所学知识进行深入探索,牢固掌握知识体系、内在联系,从而提高了学习质量、学习效果。 5、实验环节采用学生预习、审查方案、仿真模拟、讲解示范、指导操作、独立操作等教学方法。 (三)、考试考核方式 教学过程是知识消化、理解和升华的过程,各个教学环节之间是相互影响、相互依存的,各个环节的统一协调,齐抓并重是提高教学质量的根本保证,也是培养学生自主学习能力的必要措施。因此,在教学过程中对学生的学习过程实施全程考核:理论课将完成作业、答疑质疑、习题研究、专题研讨、阶段考核考核情况量化成分值作为本课程的平时成绩。

电子技术教学大纲

《电子技术》教学大纲 第一部分:模拟部分 一、课程基本信息: (一)课程名称:电子技术(模拟部分)课程教学大纲 (二)课程类别: 专业必修课 (三)学时:78学时,其中理论70学时,实验8学时 (四)学分:6 (五)开设学期及周学时分配:第3学期,周6 二、课程的性质和任务: 本课程是电类各专业的一门重要的专业技术基础课之一,主要研究半导体器件、放大电路及信号的产生处理,是理论和实践紧密结合的应用性很强的一门课程。 本课程的任务是:使学生获得模拟电子技术方面的基本理论、基本知识和基本技能,着重培养学生分析问题解决问题及实践应用的能力。为学习其他有关课程和毕业后从事电子电气工程、自动化及计算机应用方面的工作打下必要的基础 三、课程教学目标: (一)知识目标 通过理论教学,使学生掌握模拟电子电路基础知识,各种基本电路的组成、工作原理、性能特点及主要参数。掌握常用模拟电路的分析和设计方法。 (二)能力目标 通过理论学习和实践教学,使学生具有使用常用电子仪器仪表的能力,具有查阅电子元器件手册并合理选用元器件的能力,阅读和应用常见模拟电路的能力,测试常用模拟电路功能,排除简单故障的能力。 四、教学内容和要求 (一)基础模块 课题一半导体基本知识及其基本应用 教学内容 a)半导体基本知识 b)PN结及其特性 c)半导体二极管结构、特性及其基本应用 d)特殊半导体二极管 教学要求 1.掌握半导体二极管的单向导电作用、正偏和反偏,稳压管的稳压作用,整流滤波等基本概念 2.掌握普通二极管和稳压管的外特性 3.掌握单向桥式整流滤波电路输出直流电压和输入交流电压有效值的关系 4.熟悉普通二极管和稳压管的主要参数,使用方法,注意事项,选用原则;发光二极管和光电二极管的性能、使用方法

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

《电工电子技术》课程教学大纲

《电工电子技术》课程教学大纲 一.课程基本信息 开课单位:电子信息学院电子工程系电工电子教研室 课程编号:03040089b 英文名称:Electrotechnics and Electronics 学时:总计48学时,其中理论授课48学时,实验(含上机)0学时 学分:3.0学分 面向对象:物流管理、应用物理学、生物工程等本科专业 先修课程:高等数学、大学物理 教材:《电路与电子技术》(电工学Ⅰ),朱伟兴主编,高等教育出版社,2008年六月第一版 主要教学参考书目或资料: 1.《电工学》(第六版)上册电工技术、《电工学》(第六版)下册电子技术,秦曾煌主编, 高等教育出版社,2003年12月第六版 2.《电工学(第六版)学习辅导与习题选解》,秦曾煌主编,高等教育出版社 3.《电工学(第六版)习题全解(上下册)》,姜三勇主编,高等教育出版社 二.教学目的和任务 《电工电子技术》是面向高等工科学校非电类专业开设的一门技术基础课程。目前,电工电子技术应用十分广泛,发展迅速,并且日益渗透到其他学科领域,促进其发展,在我国社会主义现代化建设中具有重要的作用。本课程的教学目的和任务是:使学生通过本课程的学习,获得电工电子技术必要的基本理论、基本知识和基本技能,了解电工电子技术的应用和我国电工电子技术发展的概况,为今后学习后续课程以及从事与本专业有关的工程技术工作和科学研究工作打下一定的基础。本课程理论严谨,系统性、逻辑性强,对培养学生的辨证思维能力,树立理论联系实际的科学观点和提高学生分析问题、解决问题的能力有着重要的作用,是培养复合型人才的重要组成部分。 三.教学目标与要求 本门课程通过不同的教学方法和教学手段,使学生掌握电路理论、安全用电、模拟电子技术、数字电子技术、EDA技术等电工技术领域中的基本理论、基本知识;初步掌握一般电路和电子电路的分析方法;了解常用电子器件的作用和功能;了解电工电子技术领域中的新理论、新技术、新知识。 四.教学内容、学时分配及其基本要求 第一章电路的基本概念与定律(5学时。含讲授5学时) (一)教学内容 1.实际电路与电路模型 2.电路中常用的物理量 3.电阻、电容和电感元件 4.电源 5.电路的工作状态 6.基尔霍夫定律

相关文档
相关文档 最新文档