文档库 最新最全的文档下载
当前位置:文档库 › 074-王楠-计组实验四静态随机存储器实验

074-王楠-计组实验四静态随机存储器实验

074-王楠-计组实验四静态随机存储器实验
074-王楠-计组实验四静态随机存储器实验

延安大学计算机学院实验报告专用纸

学号1110218014074 姓名王楠班级计科18 课程名称计算机组成原理

实验项目名称静态随机存储器实验任课教师指导教师

实验组别第组同组者

教师评语及成绩:

实验成绩:教师签字:

(请按照实验报告的有关要求书写,一般必须包括:1、实验目的;2、实验内容;3、实验步骤与方法;4、实验数据与程序清单;5、出现的问题及解决方法;6、实验结果、结果分析与体会等内容。)

【1】实验目的

掌握静态随机存储器 RAM 工作特性及数据的读写方法

【2】实验原理

实验所用的静态存储器由一片 6116(2K×8bit)构成(位于 MEM 单元),如图 2-1-1 所示。 6116 有三个控制线: CS(片选线)、 OE(读线)、 WE(写线),其功能如表 2-1-1所示,当片选有效(CS=0)时, OE=0 时进行读操作, WE=0 时进行写操作,本实验将 CS 常

接地。

图 2-1-1 SRAM 6116 引脚图

由于存储器(MEM)最终是要挂接到 CPU 上,所以其还需要一个读写控制逻辑,使得 CPU 能控制 MEM 的读写,实验中的读写控制逻辑如图 2-1-2 所示,由于 T3 的参与,可以保证

MEM的写脉宽与 T3 一致, T3 由时序单元的 TS3 给出(时序单元的介绍见附录 2)。 IOM 用来选择是对 I/O 还是对 MEM 进行读写操作, RD=1 时为读, WR=1 时为写。

实验原理图如图 2-1-3 所示,存储器数据线接至数据总线,数据总线上接有 8 个 LED 灯显示 D7…D0 的内容。地址线接至地址总线,地址总线上接有 8 个 LED 灯显示 A7…A0 的内容,地址由地址锁存器(74LS273,位于 PC&AR 单元)给出。数据开关(位于 IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为 8 位,接入 6116的地址 A7…A0, 6116 的高三位地址 A10…A8 接地,所以其实际容量为 256 字节。

实验箱中所有单元的时序都连接至时序与操作台单元, CLR 都连接至 CON 单元的 CLR 按钮。实验时 T3 由时序单元给出,其余信号由 CON 单元的二进制开关模拟给出,其中 IOM 应为低(即 MEM 操作), RD、 WR 高有效, MR 和 MW 低有效, LDAR 高有效。

【3】实验步骤

(1)关闭电源,连接实验电路,并检查无误。

(2) 将时序与操作台单元的开关 KK2 置为‘单拍’档,开关 KK1、 KK3 置为‘运行’档。

(3) 将 CON 单元的 IOR 开关置为 1(使 IN 单元无输出),打开电源开关,如果听到有

‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。 (4) 给存储器的 00H、 01H、 02H、 03H、 04H 地址单元中分别写入数据 11H、 12H、 13H、14H、 15H。由前面的存储器实验原理图(图 2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0, RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动 ST 产生 T3 脉冲,即将地址打入到 AR 中。再写数据,具体操作步骤为:先关掉存储器

的读写(WR=0, RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1, RD=0, IOM=0),按动 ST 产生

T3 脉冲,即将数据打入到存储器中。写存储器的流程如图 2-1-5 所示(以向 00 地址单元写

入 11H 为例):

(5) 依次读出第 00、 01、 02、 03、 04 号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭 IN 单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1, IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据内容。读存储器的流程如图 2-1-6 所示(以从 00 地址单元读出 11H 为例):

【4】实验结果

以给地址为15H(00010101)的单元写入42H(01000010)为例,从二进制地址单元输入00010产生脉冲将地址打入AR中,对应的代表地址的指示灯亮,地址输入成功;从二进制地址单元输入要写入的数据01000010,数据开关输出要写入的数据,打开输入三态门,存储器处于写状态,按动ST产生T3脉冲,即将数据打入到存储器中,对应的指示灯亮,数据写入成功。

【5】实验总结

1.总线存在竞争后,会有滴的声音,此时应该关闭电源,检查路线

2.偶尔会出现线插反,导致实验结果出现差错

刚开始由于想快点连完线,好做实验,结果导致线连错了,做实验时检查半天不知道为什么出错了,最后把线重新检查一遍后,找到错误。

通过第一次实验的教训,以后连接线的时候都会慢慢来连,以确保不会出错。通过本次实验,自己还对RAM静态存储己制有了更形象的了解。

静态存储器-实验报告

计算机科学与技术系 实验报告 专业名称计算机科学与技术 课程名称计算机组成与结构 项目名称静态随机存储器实验 班级 学号 姓名 同组人员无 实验日期 2015-10-24

一、实验目的与要求 掌握静态随机存储器RAM 工作特性及数据的读写方法 二、实验逻辑原理图与分析 2.1 实验逻辑原理图及分析 实验所用的静态存储器由一片6116(2K ×8bit)构成(位于MEM 单元),如下 图所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS 常接地线。 由于存储器(MEM)最终是要挂接到CPU 上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM 的读写,实验中的读写控制逻辑如下图所示,由于T3的参与,可以保证MEM 的写脉宽与T3一致,T3由时序单元的TS3给出。IOM 用来选择是对I/O 还是对MEM 进行读写操作,RD=1时为读,WR=1时为写。 XMRD XIOR XIOW XMWR RD IOM WE T3 读写控制逻辑 实验原理图如下如所示,存储器数据线接至数据总线,数据总线上接有8 个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

4位全加器实验报告

四位全加器 11微电子黄跃21 【实验目的】 采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、结构描述模式或数据流描述模式设计四位进位加法器。 【实验内容】 加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10的功能(当然还有 0+0、0+1、1+0). 【实验原理】

表2 全加器逻辑功能真值表 图4 全加器方框图 图5 全加器原理图 多位全加器连接可以是逐位进位,也可以是超前进位。逐位进位也称串行进位,其逻辑电路简单,但速度也较低。 四位全加器 如图9所示,四位全加器是由半加器和一位全加器组建而成: 图9 四位全加器原理图 【实验步骤】 (1)建立新工程项目: 打开modelsim软件,进入集成开发环境,点击File→New project建立一

个工程项目adder_4bit。 建立文本编辑文件: 点击File→New在该项目下新建Verilog源程序文件 并且输入源程序。 (2)编译和仿真工程项目: 在verilog主页面下,选择Compile— Compile All或点击工具栏上的按钮启动编译,直到project出现status栏全勾,即可进行仿真。 选择simulate - start simulate或点击工具栏上的按钮开始仿真,在跳出来的 start simulate框中选择work-test_adder_4bit测试模块,同时撤销Enable Optimisim前的勾,之后选择ok。 在sim-default框内右击选择test_adder_4bit,选择Add Wave,然后选择simulate-run-runall,观察波形,得出结论,仿真结束。 四位全加器 1、原理图设计 如图9所示,四位全加器是由半加器和一位全加器组建而成: 图9 四位全加器原理图 【仿真和测试结果】 下图为四位全加器的仿真图:

实验四 静态随机存储器实验

实验四静态随机存储器实验 一.实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二.实验设备 TDN—CM++计算机组成原理教学实验系统一台,排线若干。 三.实验内容 1.实验原理 实验所用的半导体静态存储器电路原理如图所示,实验中的静态存储器一片6116 (2K﹡8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD0—AD7与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7—A0,而高三位A8—A10接地,所以 其实际容量为256字节。6116有三个控制线:CE(片选线)OE(读线)WE(写 线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0时进行写操作。本实 验中将OE常接地,在此情况下,当CE=0 WE=0时进行读操作,其写时间与T3 脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW—B为 低电平有效,LDAR为高电平有效。 2.实验步骤 (1)在时序电路模块中有两个二进制开关“STOP”和“STEP”,将“STOP” 开关置为“RUN”状态,将“STEP”开关置为“STEP”状态。 (2)按“图4 存储器实验连线图”连接实验线路,仔细查向无误后接通电源。 由于存储器模块内部的连线已经接好,因此只需完成电路的形成、控制信 号模拟开关、时钟脉冲信号T3与存储模块的外部连接。 (3)给存储器的00 01 02 03 04地址单元中分别写入数据11 12 13 14 15,具体操作步骤如下:(以向00号单元写入11为例)

计算机组成原理上机实验报告

《计算机组成原理实验》课程实验报告 实验题目组成原理上机实验 班级1237-小 姓名 学号 时间2014年5月 成绩

实验一基本运算器实验 1.实验目的 (1)了解运算器的组成原理 (2)掌握运算器的工作原理 2.实验内容 输入数据,根据运算器逻辑功能表1-1进行逻辑、移位、算术运算,将运算结果填入表1-2。 表 1-1运算器逻辑功能表 运算类 A B S3 S2 S1 S0 CN 结果 逻辑运算65 A7 0 0 0 0 X F=( 65 ) FC=( ) FZ=( ) 65 A7 0 0 0 1 X F=( A7 ) FC=( ) FZ=( ) 0 0 1 0 X F=( ) FC=( ) FZ=( ) 0 0 1 1 X F=( ) FC=( ) FZ=( ) 0 1 0 0 X F=( ) FC=( ) FZ=( ) 移位运算0 1 0 1 X F=( ) FC=( ) FZ=( ) 0 1 1 0 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 0 1 1 1 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 算术运算 1 0 0 0 X F=( ) FC=( ) FZ=( ) 1 0 0 1 X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 1 X F=( ) FC=( ) FZ=( ) 1 1 0 0 X F=( ) FC=( ) FZ=( ) 1 1 0 1 X F=( ) FC=( ) FZ=( ) 表1-2运算结果表

实验一四位串行进位加法器的设计实验报告

实验一四位串行进位加法器的设计 一、实验目的 1.理解一位全加器的工作原理 2.掌握串行进位加法器的逻辑原理 3.进一步熟悉Quartus软件的使用,了解设计的全过程, 二、实验内容 1.采用VHDL语言设计四位串行进位的加法器 2.采用画原理图的方法设计四位串行进位加法器 三、实验步骤 1、使用VHDL语言设计 1.打开File—>New Project Wizard输入文件名adder4保存在D盘内,打开File—>New—>VHDL File,从模版中选择库的说明,use语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后保存、编译。打开File—>New—>Other File—>Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。从Assignments—>Settings—>Simulator Settings —>Functional 然后Processing—>Generate Functional Simnlation Netlist —>确定。选择Start Simulation保存最后的波形图,打开File —>close关闭工程。 底层文件: LIBRARY ieee;

USE fadder IS PORT ( a, b,cin : IN STD_LOGIC; s, co : OUT STD_LOGIC ); END fadder; ARCHITECTURE arc1 OF fadder IS BEGIN s<=a xor b xor cin; co<=((a xor b)and cin)or(a and b); END arc1; 顶层文件: LIBRARY ieee; USE adder4 IS PORT ( c0: IN STD_LOGIC; a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); c4 : OUT STD_LOGIC );

静态随机存储器实验

实 验 项 目 静态随机存储器实验实验时间2015-11-14 实 验 目 的 掌握静态随机存储器RAM 工作特性及数据的读写方法。 实 验 设 备 PC机一台,TD-CMA实验系统一套 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图2-1-1 SRAM 6116引脚图所示。6116 有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1-1 所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 图2-1-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM的读写,实验中的读写控制逻辑如图2-1-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出(时序单元的介绍见附录2)。IOM用来选择是对I/O 还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实 验 原 理 图2-1-2 读写控制逻辑 实验原理图如图2-1-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR单元)给出。数据开关(位于IN单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 图2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR都连接至CON单元的CLR按钮。 实验时T3由时序单元给出,其余信号由CON单元的二进制开关模拟给出,其中IOM应为低(即MEM操作),RD、WR高有效,MR和MW低有效,LDAR高有效。

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

加法器实验报告文档2篇

加法器实验报告文档2篇 Adder experiment report document 编订:JinTai College

加法器实验报告文档2篇 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 本文简要目录如下:【下载该文档后使用Word打开,按住键盘Ctrl键且鼠标单击目录内容即可跳转到对应篇章】 1、篇章1:加法器实验报告文档 2、篇章2:加法器的基本原理实验报告文档 篇章1:加法器实验报告文档 【实验名称】 【目的与要求】 1.掌握1位全加器的设计 2.学会1位加法器的扩展【实验内容】 1.设计1位全加器

2.将1位全加器扩展为4位全加器 3.使4位的全加器能做加减法运算 【操作步骤】 1.1位全加器的设计 (1)写出1位全加器的真值表 (2)根据真值表写出表达式并化简 (3)画出逻辑电路 (4)用quartusII进行功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5)如果电路设计正确,将该电路进行封装以用于下一个环节 2.将1位全加器扩展为4位全加器 (1)用1位全加器扩展为4位的全加器,画出电路图 (2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两 个数之和必须在4位补码的数的范围内,这两个数包括符号在内共4位),用quartusII进行功能仿真并对仿真结果进行截图。

3.将4位的全加器改进为可进行4位加法和减法的运算器 (1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加 法运算而且还能进行减法运算。画出该电路 (2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个 数之和必须在4位补码的数的范围内),用quartusII 进行功能仿真并对仿真结果进行截图。 【附录】 篇章2:加法器的基本原理实验报告文档【按住Ctrl键点此返回目录】 一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器所属课程计算机组成与结构所在系计算机科学与技术班级 学号 姓名 指导老师 实验日期

**大学实验(实训)报告 实验静态随机存储器实验 2.1. 实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2.2. 实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2.3. 实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2.4. 实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。 图2-1 SRAM 6116 引脚图 由于存储器最终挂接到CPU上,所以还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出。IOM用来选择是对 I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。

实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 和MW 低有效,LDAR 高有效。 2.5.实验步骤 MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 | || AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116

《计算机组成原理》实验二报告

《计算机组成原理》 实验报告 学院:计算机学院 专业:软件工程 班级学号:130803 313002384 学生姓名:胡健华 实验日期:2014-11-13 指导老师:李鹤喜 五邑大学计算机学院计算机组成原理实验室

实验二 一、实验名称:SRAM 静态随机存储器实验 二、实验目的: 掌握静态随机存储器RAM工作特性及数据的读写方法。 三、实验内容: 1、向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据 送入总线后,存到指定的存储单元,数据在数据显示灯显示。 2、从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入 总线, 通过数据显示灯显示。 四、实验设备: PC机一台,TD-CMA实验系统一套。 五、实验步骤: 1、关闭实验系统电源,按图2-4 连接实验电路,并检查无误,图中将用户需要连接的信号用 圆圈标明。 2、将时序与操作台单元的开关KK1、KK3 置为运行档、开关KK2 置为‘单步’档。 3、将CON 单元的IOR 开关置为1(使IN 单元无输出),打开电源开关,如果听到有‘嘀’报 警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。 图2-4

4、给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据11H、12H、13H、14H、15H。 由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST 产生T3 脉冲,即将地址打入到AR 中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,打开输入三态门(IOR=0),然后使存储器处于写状态(WR=1,RD=0,IOM=0),按动ST 产生T3脉冲,即将数据打入到存储器中。写存储器的流程如图2-5 所示(以向00 地址单元写入11H为例): 图2-5 5、依次读出第00、01、02、03、04 号单元中的内容,观察上述各单元中的内容是否与前面写 入的一致。同写操作类似,也要先给出地址,然后进行读,地址的给出和前面一样,而在进行读操作时,应先关闭IN 单元的输出(IOR=1),然后使存储器处于读状态(WR=0,RD=1,IOM=0),此时数据总线上的数即为从存储器当前地址中读出的数据内容。读存储器的流程如图2-6 所示(以从00 地址单元读出11H 为例): 图2-6 如果实验箱和 PC 联机操作,则可通过软件中的数据通路图来观测实验结果(软件使用说明请看附录1),方法是:打开软件,选择联机软件的“【实验】—【存储器实验】”,打开存储器实验的数据通路图,如图2-7 所示。 进行上面的手动操作,每按动一次ST 按钮,数据通路图会有数据的流动,反映当前存储器所做的操作(即使是对存储器进行读,也应按动一次ST 按钮,数据通路图才会有数据流动),或在软件中选择“【调试】—【单周期】”,其作用相当于将时序单元的状态开关置为‘单步’档

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器 一、实验原理 1.一位半加器 A和B异或产生和Sum,与产生进位C 2.一位全加器 将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器 3.4位串行进位加法器 将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器

4.超前进位加法器(4位) ⑴AddBlock 产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum ⑵进位链(Cmaker) 四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位

⑶超前进位加法器 将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材 QuartusII仿真软件,实验箱 三、实验结果 1.串行进位加法器结果 2.超前进位加法器结果

四、实验结果分析 1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化 不到位。另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。当位数增加的时候,超前进位加法器会比串行的更快。 2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径 的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致

计算机组成原理实验静态随机存储器

实验二SRAM 静态随机存储器实验 存储器是计算机各种信息存储与交换的中心。在程序执行过程中,所要执行的指令是从存储器中获取,运算器所需要的操作数是通过程序中的访问存储器指令从存储器中得到,运算结果在程序执行完之前又必须全部写到存储器中,各种输入输出设备也直接与存储器交换数据。把程序和数据存储在存储器中,是冯·诺依曼型计算机的基本特征,也是计算机能够自动、连续快速工作的基础。 一、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表2-1所示,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。 图2-1 SRAM 6116引脚图 由于存储器(MEM)最终是要挂接到CPU上,所以其还需要一个读写控制逻辑,使得CPU能控制MEM 的读写,实验中的读写控制逻辑如图2-2所示,由于T3的参与,可以保证MEM的写脉宽与T3一致,T3由时序单元的TS3给出。IOM用来选择是对I/O还是对MEM进行读写操作,RD=1时为读,WR=1时为写。 表2-1 SRAM 6116功能表 CS WE OE功能 1 0 0 0× 1 × 1 不选择 读 写 写

XMRD XMWR XIOW XIOR RD T3WR 图2-2 读写控制逻辑 实验原理图如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED 灯显示D7…D0的内容。地址线接至地址总线,地址总线上接有8个LED 灯显示A7…A0的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。 RD WR 图2-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 和MW 低有效,LDAR 高有效。

静态随机存储器实验实验报告

**大学 实验(实训)报告 实验名称运算器、存储器 所属课程计算机组成与结构 所在系计算机科学与技术 班级 学号 姓名 指导老师 实验日期 实验静态随机存储器实验 2、1、实验目的 掌握静态随机存储器RAM工作特性及数据的读写方法。 2、2、实验内容 给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据 11H、12H、13H、14H、15H,再依次读出数据。 2、3、实验设备 TDN-CM++计算机组成原理教学实验系统一台,排线若干。 2、4、实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM单元),如图2-1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如下图,当片选有效(CS=0)时,OE=0时进行读操作,WE=0时进行写操作,本实验将CS常接地。

的读写,一致,T3 时为读,WR=1 实验原理如图2-3所示,存储器数据线接至数据总线,数据总线上接有8个LED灯显示D7…D0 的内容。地址线接至地址总线,地址总线上接有8个LED灯显示A7…A0的内容,地址由地址锁存器给出。数据开关经一个三态门连至数据总线,分时给出地址与数据。地址寄存器为8位,接入6116的地址A7…A0,6116的高三位地址A10…A8接地,所以其实际容量为256字节。

MR MW D7 —————D0D7 —————D0 A7 —————A0 OE CS T3 IOM RD WE 读写译码 RD WR 74LS27374LS245IN 单元 AD7 ||| AD0 LDAR IOR IN_B A10 —A8————— ————— ——————————----—————6116 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD 、WR 高有效,MR 与MW 低有效,LDAR 高有效。 2、5.实验步骤

FPGA一位全加器设计实验报告

题目:1位全加器的设计 一.实验目的 1.熟悉QUARTUSII软件的使用; 2.熟悉实验硬件平台的使用; 3.掌握利用层次结构描述法设计电路。 二.实验原理 由于一位全加器可由两个一位半加器与一个或门构成,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验箱,其中ain,bin,cin信号可采用实 验箱上SW0,SW1,SW2键作为输入,并将输 入的信号连接到红色LED管 LEDR0,LEDR1,LEDR2上便于观察,sum,cout 信号采用绿色发光二极管LEDG0,LEDG1来 显示。 三.实验步骤 1.在QUARTUSII软件下创建一工程,工程名为full_adder,芯片名为EP2C35F672C6; 2.新建Verilog语言文件,输入如下半加器Verilog语言源程序; module half_adder(a,b,s,co); input a,b; output s,co; wire s,co; assign co=a & b; assign s=a ^ b; Endmodule 3.保存半加器程序为,进行功能仿真、时序仿真,验证设计的正确性。 其初始值、功能仿真波形和时序仿真波形分别如下所示

4.选择菜单File→Create/Update→Create Symbol Files for current file,创建半加器模块; 5.新建一原理图文件,在原理图中调用半加器、或门模块和输入,输出引脚,按照图1所示连接电路。并将输入ain,bin,cin连接到FPGA的输出端,便于观察。完成后另保存full_adder。 电路图如下 6.对设计进行全编译,锁定引脚,然后分别进行功能与时序仿真,验证全加器的逻辑功能。其初始值、功能仿真波形和时序仿真波形分别如下所示

计算机组成原理静态随机存储器实验

实验报告 一、实验名称 静态随机储存器实验 二、实验目的 掌握静态随机储存器RAM的工作特性和数据的读写方法 三、实验设备 TDN-CM++计算机组成原理教学实验系统一套,导线若干。 四、实验原理 实验所用的半导体静态存储器电路原理如图1所示,实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯ADO~AD7与地址线相连,显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7--AO,而高三位A8—A1O接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、0E(读线)、WE(写线)。当片选有效(CE=O)时,OE=O时进行读操作,WE=0时进行写操作。本实 验中将0E常接地,因此6116的引脚信号WE=1时进行读操作,WE=0时进行写操作。 在此情况下,要对存储器进行读操作,必须设置控制端CE=O、WE=O,同时有T3脉冲到来,要对存储器进行写操作,必须设置控制端CE=O、WE=1,同时有T3脉冲到来,其读写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。

图1 存储器实验原理图 五、实验内容 1. 向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯 上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯和数码 显示管显示。 2. 从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯 显示; 读出的数据送入总线, 通过数据显示灯和数码显示管显示。 六、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。 将时序电路模块中的二进制开关“STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。 注意:关于stop和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。

计算机组成原理与系统结构实验教程

实验一算术逻辑运算实验 一.实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 3.学习运算器的设计方法。 4.掌握简单运算器的数据传送通路。 5.验证运算功能发生器74LS181 的组合功能。 二.实验设备 TDN-CM+或TDN-CM++教学实验系统一套。 三.实验原理 实验中所用的运算器数据通路图如图2.6-1。图中所示的是由两片74LS181 芯片以并/串形式构成的8 位字长的运算器。右方为低4 位运算芯片,左方为高4 位运算芯片。低位芯片的进位输出端Cn+4 与高位芯片的进位输入端Cn 相连,使低4 位运算产生的进位送进高4 位运算中。低位芯片的进位输入端Cn 可与外来进位相连,高位芯片的进位输出引至外部。两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。 为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就 被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。

2 图2.6-1 运算器通路图 数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开 关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时, 开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。 控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因 此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出 端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCH UNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、 LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入 数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结 果至总线时也应保证数据输入三态门是在关闭状态。 四.实验步骤 1.按图2.6-2 连接实验电路并检查无误。图中将用户需要连接的信号线用小圆圈标明(其 它实验相同,不再说明)。 2.开电源开关。

加法器实验报告

加法器实验报告 篇一:加法器实验报告 实验 __一__ 【实验名称】 1位加法器 【目的与要求】 1. 掌握1位全加器的设计 2. 学会1位加法器的扩展 【实验内容】 1. 设计1位全加器 2. 将1位全加器扩展为4位全加器 3. 使4位的全加器能做加减法运算 【操作步骤】 1. 1位全加器的设计 (1)写出1位全加器的真值表 (2)根据真值表写出表达式并化简 (3)画出逻辑电路 (4)用quartusII进行功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5)如果电路设计正确,将该电路进行封装以用于下一个环节 2. 将1位全加器扩展为4位全加器 (1)用1位全加器扩展为4位的全加器,画出电路图

(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两 个数之和必须在4位补码的数的范围内,这两个数包括符号在内共4位),用quartusII进行功能仿真并对仿真结果进行截图。 3. 将4位的全加器改进为可进行4位加法和减法的运算器 (1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加 法运算而且还能进行减法运算。画出该电路 (2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个 数之和必须在4位补码的数的范围内),用quartusII进行功能仿真并对仿真结果进行截图。 【附录】 篇二:加法器的基本原理实验报告 一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理 3、熟悉EDA工具Quartus II和Modelsim的使用,能够熟练运用Vrilog HDL语言在Quartus II下进行工程开发、调试和仿真。

4位全加器实验报告.doc

四位全加器 11微电子黄跃1117426021 【实验目的】 采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、结构描述模式或数据流描述模式设计四位进位加法器。 【实验内容】 加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10的功能(当然还有 0+0、0+1、1+0). 【实验原理】 全加器 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图4为全 加器的方框图。图5全加器原理图。被加数A i 、加数B i 从低位向本位进位C i-1 作 为电路的输入,全加和S i 与向高位的进位C i 作为电路的输出。能实现全加运算 功能的电路称为全加电路。全加器的逻辑功能真值表如表2中所列。 信号输入端信号输出端 A i B i C i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

表2 全加器逻辑功能真值表 图4 全加器方框图 图5 全加器原理图 多位全加器连接可以是逐位进位,也可以是超前进位。逐位进位也称串行进位,其逻辑电路简单,但速度也较低。 四位全加器 如图9所示,四位全加器是由半加器和一位全加器组建而成: 图9 四位全加器原理图 【实验步骤】 (1)建立新工程项目: 打开modelsim软件,进入集成开发环境,点击File→New project建立一

计组实验一

实验报告

一.实验目的 熟悉存储器和总线的硬件电,掌握静态随机存储器 RAM 工作特性及数据的读写方法。了解与实验相关的各控制信号的意义,了解三态门在共享总线上所起的隔离作用,了解锁存器的作用及地址锁存的意义。 二.实验要求 按照实验步骤完成实验项目,实现对存储器的访问。 三.实验原理 实验所用的静态存储器由一片6116(2K×8bit)构成(位于MEM 单元),如图1所示。6116有三个控制线:CS(片选线)、OE(读线)、WE(写线),其功能如表1所示,当片选有效(CS=0)时,OE=0 时进行读操作,WE=0 时进行写操作,本实验将CS 常接地。 (图一) 由于存储器(MEM)最终是要挂接到CPU 上,所以其还需要一个读写控制逻辑,使得CPU 能控制MEM 的读写,实验中的读写控制逻辑如图2 所示,由于T3 的参与,可以保证MEM 的写脉宽与T3 一致,T3 由时序单元的TS3 给出(时序单元的介绍见第三章3.3 系统实验单元电路中6.时序与操作台单元部分)。IOM 用来选择是对I/O 还是对MEM 进行读写操作,RD=1 时为读,WR=1 时为写。 (图2)

实验原理图如图3所示,存储器数据线接至数据总线,数据总线上接有8 个LED 灯显示 D7…D0 的内容。地址线接至地址总线,地址总线上接有8 个LED 灯显示A7…A0 的内容,地址由地址锁存器(74LS273,位于PC&AR 单元)给出。数据开关(位于IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为8 位,接入6116 的地址A7…A0,6116 的高三位地址A10…A8 接地,所以其实际容量为256 字节。 图3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元,CLR 都连接至CON 单元的CLR 按钮。实验时T3 由时序单元给出,其余信号由CON 单元的二进制开关模拟给出,其中IOM 应为低(即MEM 操作),RD、WR 高有效,MR 和MW 低有效,LDAR 高有效。 四,实验步骤

加法器的基本原理实验报告

一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理 3、熟悉EDA工具Quartus II和Modelsim的使用,能够熟练运用Vrilog HDL语言在Quartus II下进 行工程开发、调试和仿真。 4、掌握半加器设计方法 5、掌握全加器的工作原理和使用方法 二、实验内容 1、建立一个Project。 2、图形输入设计:要求用VHDL结构描述的方法设计一个半加器 3、进行编译,修改错误。 4、建立一个波形文件。(根据真值表) 5、对该VHDL程序进行功能仿真和时序仿真Simulation 三、实验步骤 1、启动QuartusⅡ 2、建立新工程NEW PROJECT 3、设定项目保存路径\项目名称\顶层实体名称 4、建立新文件Blok Diagram/Schematic File 5、保存文件FILE /SA VE 6、原理图设计输入 元件符号放置通过EDIT_>SYMBOL 插入元件或点击图标 元件复制 元件移动 元件转动 元件删除 管脚命名PIN_NAME 元件之间连线(直接连接,引线连接) 7、保存原理图 8 、编译:顶层文件设置,PROJECT_>Set as Top_Level 开始编译processing_>Start Compilation 编译有两种:全编译包括分析与综合(Analysis&Synthesis)、适配(Fitter)、编程(assembler)时序分析(Classical Timing Analysis)4个环节,而这4个环节各自对应相应菜单命令,可单独发布执行也可以分步执行

相关文档
相关文档 最新文档