文档库 最新最全的文档下载
当前位置:文档库 › 两位计数器电路设计

两位计数器电路设计

两位计数器电路设计
两位计数器电路设计

课题二:两位计数器电路设计(选做)

一.设计目的

本文通过对两位计数器电路的分析、仿真,阐述了计数器电路的一些设计方法,并论证了计数器电路的实现原理及过程。文中还使用了Hspice电路设计仿真软件,这样能让读者更直观的了解计数器电路的工作原理及组成结构。对数字电子技术课程中计数器有新的认识。对Hspice软件使用进一步的掌握。

二.设计原理

计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器的种类很多,按时钟脉冲输入方式的不同,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器和非二进制计数器;按计数过程中数字增减趋势的不同,可分为加计数器、减计数器和可逆计数器。

M10 7 8 0 0 NCH L=1U W=80U

M2 1 1 4 4 NCH L=1U W=20U

M3 1 1 5 5 NCH L=1U W=20U

M4 3 2 0 0 NCH L=1U W=80U

M5 4 3 6 6 NCH L=1U W=80U

V2 2 0 PULSE .2 4.8 2N 1N 1N 5N 20N

V3 8 0 5Vdc

M6 6 8 0 0 NCH L=1U W=80U

M7 4 5 0 0 NCH L=1U W=80U

M8 5 4 0 0 NCH L=1U W=80U

C1 4 0 .75P

C2 5 0 .75P

.MODEL NCH NMOS LEVEL=1

.END

原理图如下所示:

仿真波形如下图:

异或门:

网表文件:

XOR Circuit

.OPTIONS LIST NODE POST

.TRAN 200P 20N

M1 1 1 4 4 NCH L=1U W=20U

V2 2 0 PULSE .2 4.8 0N 1N 1N 5N 20N

M2 1 1 5 5 NCH L=1U W=20U

V3 3 0 PULSE .2 4.8 0N 1N 1N 5N 20N

M3 1 1 6 6 NCH L=1U W=20U

M4 4 2 0 0 NCH L=1U W=20U

M5 5 3 0 0 NCH L=1U W=20U

M6 8 2 0 0 NCH L=1U W=20U

M7 6 3 8 8 NCH L=1U W=20U

M8 7 4 0 0 NCH L=1U W=20U

M9 6 5 7 7 NCH L=1U W=20U

V1 1 0 5Vdc

.MODEL NCH NMOS LEVEL=1

.END

原理图如下所示:

仿真波形如下图:

非门:

网表文件:

NOT Circuit

.OPTIONS LIST NODE POST

.TRAN 200P 20N

M1 3 2 1 1 PCH L=1U W=20U

M2 3 2 0 0 NCH L=1U W=20U

V1 1 0 5

V2 2 0 PULSE .2 4.8 2N 1N 1N 5N 20N

.MODEL PCH PMOS LEVEL=1

.MODEL NCH NMOS LEVEL=1

.END

原理图如下所示:

仿真波形如下所示:

与非门:

网表文件:

mosnand

.OPTIONS LIST NODE POST

.TRAN 200P 200N

M1 4 2 5 5 NCH L=1U W=40U

M2 5 3 0 0 NCH L=1U W=40U

M3 4 2 1 1 PCH L=1U W=40U

V2 2 0 PULSE .2 4.8 2N 1N 1N 20N 50N

M4 4 3 1 1 PCH L=1U W=40U

V3 3 0 PULSE .2 4.8 2N 1N 1N 20N 50N

V1 1 0 5Vdc

.MODEL PCH PMOS LEVEL=1

.MODEL NCH NMOS LEVEL=1

.END

原理图如下所示:

仿真波形如下所示:

2、写两位计数器网表文件

运用Hspice软件子程序调用语句来实现两位二进制计数器。

网表文件如下:

CN2B

.OPTIONS LIST NODE POST

.TRAN 200P 200N

.global V1

.SUBCKT KXOR 2 3 5

V1 1 0 5VDC

M1 1 1 4 4 NCH L=1U W=20U

M2 1 1 5 5 NCH L=1U W=20U

M3 1 1 6 6 NCH L=1U W=20U

M4 4 2 0 0 NCH L=1U W=20U

M5 5 3 0 0 NCH L=1U W=20U

M6 8 2 0 0 NCH L=1U W=20U

M7 6 3 8 8 NCH L=1U W=20U

M8 7 4 0 0 NCH L=1U W=20U

M9 6 5 7 7 NCH L=1U W=20U .ENDS KXOR

.SUBCKT KNOT 5 6

V1 1 0 5VDC

M1 3 2 1 1 PCH L=1U W=20U M2 3 2 0 0 NCH L=1U W=20U .ENDS KNOT

.SUBCKT KNAND2 7 4 8

V1 1 0 5VDC

M1 4 2 5 5 NCH L=1U W=20U M2 5 3 0 0 NCH L=1U W=20U M3 4 2 1 1 PCH L=1U W=20U M4 4 3 1 1 PCH L=1U W=20U .ENDS KNAND2

.SUBCKT KDFF 6 1 7

V1 1 0 5VDC

M9 5 2 7 7 NCH L=1U W=80U M1 1 1 3 3 NCH L=1U W=20U M10 7 8 0 0 NCH L=1U W=80U M2 1 1 4 4 NCH L=1U W=20U M3 1 1 5 5 NCH L=1U W=20U M4 3 2 0 0 NCH L=1U W=80U

M5 4 3 6 6 NCH L=1U W=80U

M6 6 8 0 0 NCH L=1U W=80U

M7 4 5 0 0 NCH L=1U W=80U

M8 5 4 0 0 NCH L=1U W=80U

.ENDS KDFF

.XXOR 2 3 5 KXOR

.XNOT 5 6 KNOT

.XDFF 6 1 7 KDFF

.XDFF1 5 1 4 KDFF

.XNAND 7 4 8 KNAND2

.XNOT 8 9 KNOT

V2 1 0 PULSE .2 4.8 0N 0N0N 10N 20N

C1 7 0 1P

C2 4 0 1P

C3 8 0 1P

.MODEL PCH PMOS LEVEL=1

.MODEL NCH NMOS LEVEL=1

.END

3、打开网表文件与仿真

进入Hspice软件点击open打开上面的网表文件,仿真,仿真波形如下所示:

○1时钟信号波形:

○2Q1输出波形:

○3Q0输出波形:

四.心得体会

通过这次的Hspice实习,是我初步掌握了这门软件使用方法和电路图设计的流程,也体会到了很多的乐趣,也激发了我对电子行业及其产品的兴趣。这次实习得到了同学们的许多帮助,也是我深深体会到集体的力量,团队合作的重要性,集体互助是多么的重要,让我

知道了学习需要互相帮助,在在互助中大家互相提高。也非常感谢指导老师对我们的帮助和严格要求,只有在严格要求下我们才会认识到自己的错误,并小心不会再犯。指导老师的帮助也让我能够快速的掌握这门软件,真的非常感谢。通过这次实习也大大提升了我的动手操作能力。

实习虽短,但是知识是无穷尽的,我会继续努力学习更多的知识,做出更多属于自己的东西。所以虽然实习结束了,但是我对Hspice的学习远远没有结束,以后的大学时光里,我要不要锻炼自己。实验中,我们还可以很直观的观察到实验现象,这样一些很抽象课本知识就变的十分容易。我觉的这次作业对我们来说是一个挑战,特别是写网表文件。在我看来,这是一个相当专业的软件。对我们来说,使用它不是很困难,但是要熟练掌握那是一件很不容易的事。

这次的课程设计让我Hspice软件的使用方法都有了较好的掌握。这次的课程设计不仅锻炼了我独立解决问题的能力,还培养了我学习资料、应用软件的能力,收获了很多宝贵的经验。

通过这次设计,使我深深的知道,在工作中的任何环节都不能马虎,任何一点小小的差错都可能造成最终的失败。所以我学到了:做事要有胆大,心细,谨慎的作风。在以后的工作学习当中,我还将一如既往的保持下去,我想它将会使我以后的工作做的更好,路走的更宽,更远。

实验四 计数器电路设计

实验四、计数器电路的设计 一、实验目的 1、掌握计数器电路的设计方法; 2、进一步掌握电路的设计、编译、仿真和下载测试的方法。 二、实验要求 1、基本要求 1)设计一个具有异步复位和同步使能的4位二进制加法计数器 2)设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器 3)设计一个具有异步复位和同步使能的BCD码加法计数电路, 2、扩展要求 1)设计一个具有异步复位和同步使能的六十进制加法计数电路 2)设计一个具有异步复位和同步使能的二十四进制加法计数电路 三、实验原理 四、实验内容及步骤 1、建立一个工程项目,路径如:D:\A0512301\forth,项目名和顶层实体名为count。 2、设计一个具有异步复位和同步使能的4位二进制计数器,并进行编译仿真与下载测试; 3、设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器,并进行编译仿真与下载测试; 4、设计一个具有异步复位和同步使能的十进制加法计数电路,并进行编译仿真与下载测 试; 五、参考程序 1、四位加法计数器 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 IS SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; END PROCESS ; Q <= Q1 ; END bhv; 2、异步复位,同步使能十进制加法计数器 LIBRARY IEEE;

EDA实验报告-实验3计数器电路设计(DOC)

暨南大学本科实验报告专用纸 课程名称EDA实验成绩评定 实验项目名称计数器电路设计指导教师郭江陵 实验项目编号03 实验项目类型验证实验地点B305 学院电气信息学院系专业物联网工程 组号:A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。请参考前面第二章中关于“电源模块”的说明。 二、实验目的 1、了解各种进制计数器设计方法 2、了解同步计数器、异步计数器的设计方法 3、通过任意编码计数器体会语言编程设计电路的便利 三、实验原理 时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。输出显示模块用VHDL实现。 四、实验内容 1、用74161构成8位二进制同步计数器(程序为T3-1); 2、用74390构成8位二——十进制异步计数器(程序为T3-2); 3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3): 0,2,5,3,4,6,1 五、实验要求 学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。 六、设计框图 首先要熟悉传统数字电路中同步、异步计数器的工作与设计。在MAX+PLUS II中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。并借用前面设计的数码管显示模块显示计数结果。 ◆74161构成8位二进制同步计数器(程序为T3-1)

具有加减识别功能的绕线机电子计数器

目录 摘要 (2) 前言 (3) 第一章设计方案 (4) 1.1设计目的 (4) 1.2设计要求 (4) 1.3总原理框图 (4) 1.3各单元框图电路设计 (4) (1)信号采集电路 (4) (2)加减识别电路 (5) (3)计数、译码、驱动、显示 (7) 第二章相关元器件介绍 (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 11 (12) 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110 (14) 第三章具有加减识别功能的绕线机电子计数器总电路 (16) 3.1总电路图 (16) 3.2总电路原理 (17) 第四章结论 (18) 参考文献 (19) 致谢 (20)

摘要 绕线机是用来绕制线圈的专用设备,而计数器则起到了计数作用。通过计数器我们可以了解产品的工作状态。绕线机种类很多,由于各种线圈产品的功能要求不同,目前常见绕线机的有全自动绕线机、半自动绕线机、环形绕线机、伺服精密绕线机、变压器绕线机、电感线圈绕线机等机种。本课程设计主要是通过红外线传感器来计数绕线机转数,然后通过计数,锁存,译码等步骤最终在数码管显示数字。 关键词:绕线机,CD4013,CD40106,CD40110,红外对管 Abstract Coiling machine is used for the special equipment of Coilingaround the system, and counter does the count function. Through the counter we can understand the working state of the products. Coiling machine type many, because all kinds of different requirements for the product function coil, the most common of the winding machine fully automatic winding machine, semi-auto coiling machine, annular coiling machine, servo precision coiling machine, transformer winding machine, inductance coil winding machine model. This course is designed by infrared sensors to count coiling machine RPM, and then through the count, lock to save, steps in the digital decoder eventually pipe display Numbers Keyword:coiling machine,CD4013,CD40106,CD40110,infrared geminate transistors 前言 绕制绕组设备一般都装计数器,常用的计数器有机械式和电子式计数器。在绕组绕制中,当绕组匝数达到一定值停机,由于绕线机转动惯量很大,绕线机不会立刻停止转动,即使提前采取措施也很难绕到规定匝数,还去要正转或者反转调整。为此我们需要设计一个绕线机计数器来对绕线机转数进行计数控制。而数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器,利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。常用于记录成品数量或展览会参观者人数。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。该例光电触发式电子计数器只有两位数,但通过级联可以扩展为四位,甚至多位。

数字电路设计--------二十四进制计数器

数字电路设计 姓名:*** 学号:****************** 班级:电信111 专业:电子信息科学与技术 一.设计题目 二十四进制计数器的设计 二.设计要求 (1)要求学生掌握74系列的芯片和LED的原理和使用方法。 (2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。三.设计任务 (1)完成一个二十四进制的计数器。 (2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。23显示后,又从00重新开始计数。 四.设计思路与原理 (一)设计思路框图 →→→ → (二)LED简介 LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)

所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。 其真值表如下:

(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源 五.电路图仿真 二十四进制计数器电路仿真

六.心得体会 通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。

产品计数器课设1

燕山大学课程设计说明书 产 品 计 数 器

光电计数器的设计 摘要 本系统采用的是以单片机STC89c52为核心的自动计数器。采用反射式光电传感器,将激光发射管与接收管相邻安放,每当物体通过一次,激光就被物体遮挡一次,光电接收管的输出电压就发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,输入至STC89c52单片机的P1口,通过软件控制用LED 加以显示,便可实现对物体的计数统计。本计数器可将机械或人工计数方式变为电子计数,并且采用LED数码管显示,可适用于诸多行业,以满足现代生产、生活方式的需求。 所谓的光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。市场上的光电计数器采用的光电传感器有摄像头、光电管等,采用的光的种类有普通光和激光,可见光和不可见光等。光电传感器一般由光源、光学通路和光电元件三部分组成。光电式传感器是以光电器件作为转换元件的传感器,光电检测方法具有精度高、应用快、非接触等优点,而可测参数多,光电传感器的结构简单,形式灵活多变因此,光电式传感器在检测和控制中应用非常广泛。 【关键词】计数器光电传感器单片机数码管

生产线产品产量自动计数器电路设计

毕业设计说明书(论文) 课题名称:生产线产品产量自动计数器电路设计 航空电子设备维修专业081331班 学生姓名:赵繁学号29 指导老师:姚卫华技术职称______________ 2011年 4 月 2 日

毕业设计(论文)任务书 学生姓名:赵繁班级:081331 1.毕业设计(论文)题目: 生产线产品产量自动计数器电路设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求: 1、电子技术基础实验; 2、数字电子技术基础 本设计要求发光器件和光接收器件之间的距离大于1m,最大计数值为99,每计数100,用灯闪烁2s指示一下,LED数码管显示计数值,可上电自动复位和外部手动人工复位。 3.毕业设计(论文)工作内容及完成时间: 此设计采用组合与时序逻辑电路,采用模块化方法设计电路图。每计数一百LED灯闪烁2s,同时蜂鸣器发出响声作为提示音。 日期:自2010年12月15日至2011年4月2日 指导老师评语: _____________________________________________________________________________ _____________________________________________________________________________ _____________________________________________________________________________ _____________________________________________________________________________ _________________________________________________________ 指导老师:_______________ 系主任:____________

具有加减识别功能的绕线机电子计数器

目录 摘要................................................................................................................................ 错误!未定义书签。前言................................................................................................................................ 错误!未定义书签。第一章设计方案 .. (4) 1.1设计目的 ......................................................................................................... 错误!未定义书签。 1.2设计要求 ......................................................................................................... 错误!未定义书签。 1.3总原理框图..................................................................................................... 错误!未定义书签。 1.3各单元框图电路设计................................................................................... 错误!未定义书签。 (1)信号采集电路 ......................................................................... 错误!未定义书签。 (2)加减识别电路 ......................................................................... 错误!未定义书签。 (3)计数、译码、驱动、显示 ..................................................... 错误!未定义书签。第二章相关元器件介绍. (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 2.3 ST188 (11) 2.4芯片CD40106.................................................................................... 错误!未定义书签。 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110............................................................................................. 错误!未定义书签。第三章具有加减识别功能的绕线机电子计数器总电路.............. 错误!未定义书签。 3.1总电路图 ........................................................................................................ 错误!未定义书签。 3.2总电路原理.................................................................................................... 错误!未定义书签。第四章结论 ............................................................................................................. 错误!未定义书签。参考文献 ..................................................................................................................... 错误!未定义书签。致谢................................................................................................................................ 错误!未定义书签。

实验五计数器的设计实验报告

实验五计数器的设计——实验报告 邱兆丰 15331260 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 1、实验箱、万用表、示波器、 2、74LS73,74LS00,74LS08,74LS20 三、实验原理 1.计数器的工作原理 递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。递减计数器-----按二进制代码规律减少。 双向计数器-----可增可减,由控制端来决定。 2.集成J-K触发器74LS73 ⑴符号: 图1 J-K触发器符号

⑵功能: 表1 J-K触发器功能表 ⑶状态转换图: 图2 J-K触发器状态转换图

⑷特性方程: ⑸注意事项: ①在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。 ①触发器的两个输出负载不能过分悬殊,否则会出现误翻。 ② J-K触发器的清零输入端在工作时一定要接高电平或连接到实验箱的清零端子。3.时序电路的设计步骤 内容见实验预习。 四、实验内容 1.用JK触发器设计一个16进制异步计数器,用逻辑分析仪观察CP和各输出波形。2.用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出波形。3.设计一个仿74LS194 4.用J-K触发器和门电路设计一个特殊的12进制计数器,其十进制的状态转换图为:5.考虑增加一个控制变量D,当D=0时,计数器按自定义内容运行,当D=1时,反方向运行 五、实验设计及数据与处理 实验一

16进制异步计数器 设计原理:除最低级外,每一级触发器用上一级触发器的输出作时钟输入,JK都接HIGH,使得低一级的触发器从1变0时高一级触发器恰好接收下降沿信号实现输出翻转。实验二 16进制同步计数器 设计原理:除最低级外,每一级的JK输入都为所有低级的输出的“与”运算结果实验三 仿74LS194 设计原理:前两个开关作选择端输入,下面四个开关模仿预置数输入,再下面两个开关模仿左移、右移的输入,最后一个开关模仿清零输入。四个触发器用同一时钟输入作CLK输入。用2个非门与三个与门做成了一个简单译码器。对于每一个触发器,JK输入总为一对相反值,即总是让输入值作为输出值输入。对于每一个输入,当模式“重置”输出为1时,其与预置值结果即触发器输入;当模式“右移”、“左移”输出为1时,其值为上一位或下一位对应值;当各模式输出均为0时各触发器输入为0,使输出为0。 实验四 设计原理: 在12进制同步计数器中,输出的状态只由前一周期的状态决定,而与外来输入无关,因此目标电路为Moore型。而数字电路只有0和1两种状态,因此目标电路要表达12种状态需

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

0-99手动计数器的设计要点

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 第1章绪论 1.1 计数器介绍 本设计是根据我们所学习的单片机课程,按照课程要求进行的课程设计。单片机技术是一个不可或缺的技术,尤其是对于我们电气专业来说它是我们必须要掌握的技能之一,使我们未来工作和生活的根本。现在的社会是一个信息科技高速发展的社会,也是一个电子技术和微机计算机迅速发展的时代,单片机的档次和水平在不断的提高,其应用的领域和范围也越来越广,成为现代电子系统中最重要的智能化核心部分。 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。过硬的技术也成为众多生产厂商竞争的焦点之一。厂商为了在竞争中处于不败之地,从而不断地改进技术,增加产品的种类。 现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。计数器的应用范围也遍布印刷、纺织、印染、针织、电缆、电讯、军工、轻工、机械、开关、断路器、矿山、实行多班制的纺织行业的织布机、织带机、制线、制带、造纸、制革、薄膜、高压开关电器产品、试验设备,印刷设备、短路器、医疗、纺织、机械、仓库和码头的货运、行人及车辆过往的数量计数、冶金、食品、国防、包装、配料、石油、化工、发电、机床、仪表、自动化控制等行业。 1.2 本次设计的要求 1) 上电时,数码管显示为00。 2) 利用单片机来制作一个手动计数器,在单片机的管脚上接一个轻触开关,作为手动计数的按钮,用单片机的I/O口接数码管,作为计数器,进行加计数显示。 3) 计数器计数到99后,再按计数按钮,则数码管从00重新开始计数。 1.3 本次设计的目的 1) 学习基本理论在实践中综合运用的初步经验,掌握电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2) 掌握汇编语言程序设计方法。 3) 培养实践技能,提高分析和解决实际问题的能力。

红外计数器的设计

红外计数器的设计 0引言 随着人们生活水平的不断提高,人们越来越追求人性化的事物,计数器是不可缺少的必需品。本文所设计的计数器采用红外线遮光方式,抗干扰性好,可靠性高,可用于测量宾馆、饭店、商场、超市、博物馆、展览观、车站、码头、银行等场所的人员数量及人员流通数量。该产品应用广泛,也可以测量流水线上的产品数量,以及可检查产品有无缺损。因此,研究计数器及扩大其应用,有着非常现实的意义。 1红外技术的介绍 红外技术的内容包含四个主要部分:红外辐射的性质,其中有受热物体所发射的辐射在光谱、强度和方向的分布;辐射在媒质中的传播特性--反射、折射、衍射和散射;热电效应和光电效应等。红外元件、部件的研制,包括辐射源、微型制冷器、红外窗口材料和滤光电等。把各种红外元件、部件构成系统的光学、电子学和精密机械的组成部分。红外技术在军事上和国民经济中有着广泛的应用。 红外技术有很多应用,例如在夜晚可以用看见物体的红外线来确定物体的位置;用一个红外线发射器和一个红外线接收器在生产上可以计算商品的数量;军事上可以用来防止敌人侵入,当红外线发射器和接收器被挡住,警报就会响;在医学上还可以查看人的病情等等。 红外线计数器分为对射式和反射式两种电路。对射式红外线是一个发射头和一个接收头在中间如有物件通过就遮挡一下光线,输出脉冲信号触发计数电路;反射式红外线是把发射头和接收头做在一块成为一个红外探头,当探头前有一个物件出现就把发射头的红外线反射给接收头,探头输出一个脉冲给计数器计数。 本文所设计的计数器是采用红外线遮光方式,利用红外对射管作计数传感器,当有物体通过时光被遮挡住,接收模块输出一个高电平脉冲,对此脉冲进行计数,就可实现对产品的统计。基于这种光电检测原理,配合组成集成电路,就可设计对射式红外线计数器。实践证明,该装置抗干扰能力强, 红外计数器的设计 Design of Infrared Counter 杨汉祥张琦 Yang Hanxiang Zhang Qi (赣南师范学院物理与电子信息学院,江西赣州341000) (School of Physics and Electronic Information Science,Gannan Teachers'College,Jiangxi Ganzhou341000) 摘要:计数器在人们日常生活中应用越来越多,已成为不可缺少的必需品。本文介绍了红外计数器的发展前景和现状,设计了一种由数字电路来实现的红外计数器,详细阐述了红外计数器的工作原理和构成,讨论了各模块的实现方法,并对它们进行了严格的理论逻辑推敲和实验测试,以达到设计要求。它可以解决计数中的增减问题,对任意流水线产品及来往客流自动计数。因此,研究红外计数器及扩大其应用,有着非常现实的意义。 关键词:自动计数;红外检测;8位数码管 中图分类号:TP368.4文献标识码:B文章编号:1671-4792-(2009)7-0187-04 Abstract:Counters are widely used in our daily life and gradually become a necessity.Here we introduce the current status and al-so the future of infrared counters,then present a design on top of digital circuit.And the working theory and construct are described and implementation of each module is discussed here.Strict logical reasoning and real experiments have approved each step is correct in the process which have fulfilled the design specifications.To summarize,infrared counters can solve increase/decrease problem in count-ing,such as automatic counting for industrial pipelining and traffic.Therefore,the study of infrared counter and expanding its applica-tions make very practical sense in above terms. Keywords:Automatic Counting;Infrared Detection;8-bit Digital Tube 187

一种多功能计数器的设计

一种多功能计数器的设计 摘要:计数器在我们的日常生活中用得非常普遍,在计算机和数字化设备中更是无处不在。自动化生产流水线上对产品的计数更为重要,但一般计数器专用性强,一种计数器只能对某一种材料或特性的产品进行计数,在一定程度上限制了它的计数对象。鉴于此,本设计制作一个能对不同材料的产品进行计数的多功能计数器,扩大一般计数器的应用范围。 关键词:产品;传感器;计数器 abstract:counter is widely used in our life, especially in computer and digital equipment. but with the problem of material and property of products on pipelining, it is restricted badly on this tache. one counter may barely count the products of same material, though broad usage, its simplex function at a certain extent restrict its using in depth. considering the disadvantage of counter, the objective of this design is definite, that is we can make a multifunctional counter, which enlarge its scope of application. key words: product; sensor; counter 1 引言 计数器在生产实践中的广泛应用大家有目共睹,计数器是数字化设备的基石,少了计数器,大大影响其功能。但就计数器本身而言,

计数器电路

实验报告 课程名称: 电路与电子技术实验II 指导老师: 周箭 成绩:__________________ 实验名称: 计数器电路 实验类型: 同组学生姓名: 一、 引脚图: 引脚接入分布:16脚:高电平(5V )8脚:GND 。CP 接实验箱中1HZ 脉冲。CT T ,?LD,CT P ,?CR 引脚都接为高电平+5V 。14~11引脚依次接到一路译码电路的A 、B 、C 、D 。 1、测试集成计数器74161的功能 在复位信号为低电平时实现异步复位(清零)功能,即复位不需要时钟信号。在复位端高电平条件下,预置端为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态。等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能。两计数使能端输入禁止信号,集成计数器实现状态保持功能。 测试记录如下:

与74161的功能表一致 先说明一下反馈清零法: 模为M 的单片集成计数器,若无任何控制,其状态转换图为: 若实现模为N (N < M),则应调整状态转换图。实现方案: 正常计数时,清零端无效;计数至某个数据时,清零端有效,计数器清零; 然后,清零端(自动)恢复为无效,计数器从零开始重新计数。 二、六进制计数器 设计思路: 当74HC161的输出端输出6时,利用其优先级最高的异步清零端将输出立刻置为零即可,原理图如下:(需要一片74161和74ls00与非门)

三、二十四进制数字钟 在上一个实验所设计的十进制数字钟的基础上,加上一片74HC161,设计二十四进制数字钟。 电路图如下 共需用到3个与非门,一个与门,和2片74LS00完成 CP脉冲一开始设置为1Hz。实验结果用译码电路来显示,分别将两只74161的A,B,C,D接到两个译码电路的DA,DB,DC,DD上,接通电源后可以清晰看见译码器在0-23之间不断切换。切换CP脉冲的频率为32Hz,能更加清晰地看见计数器的变化(1Hz的数字显示跳动过快不易看清)。 但在实验中,我们发现了一个有趣的问题,就是当CP脉冲为32HZ时,这个电路的自启动过程有时候会

绕线机计数器程序

声明:本程序已经调好并已投入实际生产中。此公开的目的是给单片机初学者的一个简单的实例,供学习用。本人的宗旨是:“人人为我,我为人人”。大家共同分亨学习及改证,如转贴请注明出处。请勿用于商业途径! 2006-2-10整理 以下程序请”大虾”不吝指正!!!! ;***********************************; ; 绕线机计数器程序; ;CPU : 89C2051 版本: V2.7 ; ;可预置数--电机车间专用; ;创建:2005-02-01 修改于:2005.09.29 ; ;作者:孙世林; ; ; E-mail:sunlin137@https://www.wendangku.net/doc/8b5442525.html, ; ;***********************************; ; ;****************; ; 伪定义; ;****************; LED0 EQU 3AH; 存放显存的小数 LED1 EQU 3BH; 存放个位数 LED2 EQU 3CH; 存放十位数 LED3 EQU 3DH; 存放百位数 LED4 EQU 3FH; 存放千位数 SLOW0 EQU 40H; 存放减速预置数小数 SLOW1 EQU 41H; 存放减速预置数个位 SLOW2 EQU 42H; 存放减速预置数十位 SLOW3 EQU 43H; 存放减速预置数百位 SLOW4 EQU 44H; 存放减速预置数千位 TOEND0 EQU 45H; 存放预置数小数位 TOEND1 EQU 46H; 存放预置数个位 TOEND2 EQU 47H; 存放预置数十位 TOEND3 EQU 48H; 存放预置数百位 TOEND4 EQU 49H; 存放预置数千位 L1BIT1 EQU 4AH; 存放相应键位中的预置数L1

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

产品计数器的电路设计

河南城建学院 《安全检测技术》课程设计任务书 产品计数器的电路设计 班级0232111 姓名 学号023211128 专业安全监测技术 指导教师黄战峰、马斌 环境与市政工程系 2012年6月14日

摘要 计数器对某物件进行自动计数,在实际生产生活中具有广泛的应用,对通过的物体进行计数,实现统计数据的搜集,如在生产流水线包装数量控制等领域的应用,能节省劳动力有能高效地完成任务。光电计数器采用光电传感器构成的广电门实现对通过光电门的物体进行计数,是一种非接触式计数,在部分场合有着其无比的优越性,从而使其广泛应用于工业生产、实时监测、自动化控制等领域。 本设计为实现光电计数器的功能,采用模数结合的电路,以红外对射光电传感器为传感器件。电路主要分为信号采集电路、两位十进制计数电路、数码显示电路、报警电路四个模块,分别实现对通过光电门的物体感应,计数,显示,并按要求完成报警功能。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动清除报警;还可以计数达一百时,闪灯报警两秒。 关键词:计数器光电传感器数码显示报警

目录摘要0 前言3 第一章设计内容及要求3 第二章设计方案4 第三章系统组成5 3.1 系统框图5 3.2 单元电路设计5 3.2.1 信号采集电路设计6 3.2.2 计数电路10 3.2.3 数码显示电路13 3.2.4 定数报警电路14 3.2.5 满百报警电路18 附录一总原理图19 附录二元件清单20 总结21 参考文献22

前言 工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。而数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本设计的光电计数器为非接触式计数器中的一种。 光电计数器采用光电传感器利用光学原理实现对物件的数目统计。光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。市场上的光电计数器采用的光电传感器有摄像头、光电管等,采用的光的种类有普通光和激光,可见光和不可见光等。本文采用的传感器为红外光电传感器。 第一章设计内容及要求 利用发光二极管和光敏三极管作为光电计数器的传感器进行计数,用数码管显示计数值,当数码管显示值与设定值相同时报警,此外计数器停止计

生产线计数器系统的设计

单片机技术及应用 设计题目:生产线计数器系统的设计 专业班级:机械08-3班 姓名:杨亮亮 学号:3080444913 桂林理工大学机控学院 2011年5月22日

目录 前言............................. 错误!未定义书签。 1 设计要求......................... 错误!未定义书签。 2 设计目的......................... 错误!未定义书签。 3 设计意义......................... 错误!未定义书签。 4 国内外产品计数器的概况............. 错误!未定义书签。 5 总体方案的设计与分析............... 错误!未定义书签。 6 硬件电路......................... 错误!未定义书签。 6.1 AT89S51控制电路.............. 错误!未定义书签。 6.2 整形电路.................... 错误!未定义书签。 7 软件设计......................... 错误!未定义书签。 7.1程序流程图.................... 错误!未定义书签。 4.2主要程序代码.................. 错误!未定义书签。第5章安装与调试................... 错误!未定义书签。第6章制作课题心得 ................. 错误!未定义书签。附录 .. (10) 1. 总原理图 .................... 错误!未定义书签。 2. PCB图....................... 错误!未定义书签。 4.元件清单 (13) 3. 3D效果图.................... 错误!未定义书签。

相关文档