文档库 最新最全的文档下载
当前位置:文档库 › FIR低通滤波器设计报告

FIR低通滤波器设计报告

FIR低通滤波器设计报告
FIR低通滤波器设计报告

FIR 低通滤波器设计报告

信息工程 信息与通信工程 2111203024 xxx

1. 设计内容

本设计是基于FPGA 的一个FIR 低通滤波器设计,要求使用Verilog 语言编写滤波器模块,通过编译和综合,并通过Matlab 和modelsim 联合仿真验证设计结果。

2. 设计原理

FIR 滤波器响应(简称FIR )系统的单位脉冲响应()h n 为有限长序列,系统函数()H z 在有限z 平面上不存在极点,其运算结构中不存在反馈支路,即没有环路。如果()h n 的长度为N ,则它的系统函数和差分方程一般具有如下形式:

1

0()()N n n H z h n z --==∑

1

0()()()N m y n h m x n m -==-∑

根据差分方程直接画出FIR 滤波器的结构,称为直接型结构。如图所示:

图2.1 FIR 滤波器直接结构

FIR 滤波器的特点:单位脉冲响应序列为有限个;可快速实现;可得到线性相位;滤波器阶数较高。对线性时不变系统保持线性相位的条件是:单位脉冲响应为偶对称或奇对称。即:

为设计线性滤波器,应保证h(n)为对称的。

1)若N 为偶数,其线性相位FIR 滤波器的对称结构流图:

图2.2 若N为偶数线性相位FIR滤波器的对称结构流图

图中:“ +1 ”对应偶对称情况,“ -1 ”对应奇对称情况。当n为奇数时,最后一个支路断开。

2)若N为奇数,其线性相位FIR滤波器的对称结构流图:

图2.3 N为奇数线性相位FIR滤波器的对称结构流图在本设计中,我们采用线性FIR低通滤波器,所采用的阶数N=8,所以是偶对称的,估采取图2.2的结构,其中“±1“取“+1”。

3.设计思路

要在FPGA上实现FIR滤波器,首先要确定滤波器的抽头系数。其系数的确定,我们可以通过两种办法来实现:第一种就是通过matlab编写FIR滤波器程序,然后直接导出抽头系数“h(n)”,另外一种办法就是使用matlab自带的FDATOOL简便地设计一个FIR滤波器,然后导出系数。考虑到要更直观地描述FIR滤波器的设计,我采用了第一种方法,用编写matlab代码的方式设计一个FIR低通滤波器。

设计好滤波器后,接着要用matlab产生一个高频率和低频率的信号,通过滤波器的滤波,能把高频的信号滤除,只剩下低频的信号,从而验证滤波器的性能。然后再把产生的输入信号进行采样,保存,量化,以供FPGA的FIR滤波器模块使用。

做好准备工作后,就可以在QUARTUSII里面编写Verilog代码了。由于是硬件描述语言,所以设计的思路很简单,就是通过把输入序列移位,然后首位对称相加再乘以抽头系数,然后把相乘结果再相加最后给输出。其中涉及的难点是FPGA对有符号小数的乘法处理部分。

在QUARTUSII编写好模块之后,就要用到modelsim来对设计进行仿真。对于仿真信号

的输入,我们可以添加一个altera的romIP核来存放之前matlab产生的信号采样值,然后

通过时钟的驱动一个一个输入到FIR滤波器模块,最后在modelsim中显示滤波器的输出结果。

验证的最后一步,就是要把modelsim输出的仿真结果用matlab进行绘图,和之前matlab

程序的输出结果进行比较,从而验证滤波器的设计是否成功。

4.设计过程

1)使用matlab设计FIR滤波器

用matlab设计线性FIR滤波器,首先要确定其指标,在本设计里,我们规定滤波器的

指标如下:阶数N=8,抽样频率fs=100Hz,截止频率为12.5Hz用窗函数设计FIR滤波器,

窗函数选择汉明窗。根据此可以编得matlab代码如下:

可以求得滤波器抽头系数h(n)为:

h =

0.0028 0.0298 0.1259 0.2325 0.2325 0.1259 0.0298 0.0028

由于FPGA不支持浮点数的运算,所以我们采用定点数的格式来量化抽头系数。对于16

位的输入数据,我们这里采用Q11的定点小数格式,即11位小数位,4位整数位,1位符号位。将抽头系数乘以2^11可得:

b =

5 60 257 47

6 476 25

7 60 5

这样我们就得到了8阶FIR滤波器的量化系数了。

确定好FIR滤波器的系数了,我们还需要用matlab产生仿真用的输入信号,在这里,

我们采用了以下两组信号:

X1=0.01*sin(2*pi.*t)+0.01*sin(2*pi*40.*t),f1=0.02π,f2=0.8π(截止频率为0.25π)

X2=0.01*sin(2*pi.*t)+0.01*sin(2*pi*4.*t),f1=0.02π,f2=0.08π(截止频率为0.25π)

然后用这两组结果分别与滤波器系数进行卷积运算,可以得到滤波器后的结果,如下图所示:

图4.1 当输入信号为x1时,滤波器的输入波形以及输出波形示意图

图4.2 当输入信号为x2时,滤波器的输入波形以及输出波形示意图通过简单分析上述两图的结果,可以看出,当输入信号的频率大于0.25π时,信号得

到很好的滤除,而当输入信号少于0.25π时,其信号会无失真地通过滤波器。

接下来,我们就先把这两种信号的采样值进行移位量化处理,然后保存到txt文件,供后续仿真使用,具体matlab代码如下:

图4.3 matlba程序对x1波形进行采样,量化,然后保存到txt文件2)用Verilog编写滤波器模块

由于Verilog是一种行为描述语言,所以用来描述FIR的结构是很方便的,其关键程序就是有符号小数的乘法处理模块。为了方便后续的仿真,整一个FIR滤波器程序可以分为三个部分:用来存放输入数据的rom模块,FIR滤波器主程序以及有符号小数的乘法模块。

(1)Rom模块:

Rom模块使用QUARTUSII的IP核直接调用,然后把matlab采样的数据,直接保存到mif 或hex文件,供rom调用。在本设计,一开始我们也是保存在mif文件中,但发现modelsim 不支持mif文件的仿真,所以我们改为保存在hex文件中。

图4.3 hex文件存放的数据,节选

(2)FIR滤波器主程序:

其主程序的变量定义如下:

其中输入数据和滤波器的抽头系数,都是16位,由于输入序列最大值不超过40,所以相加结果仍然是16位。相乘的结果用32位表示。为了防止相乘再相加后数据的溢出,我们在这里把存放相乘再相加的结果扩大了2位,用到34位,然后最后的输出,在原来的基础上再扩大1位,35位的输出。在最后的输出中,可以把低位去掉,只保留若干高位,但这里只需要仿真出结果便可,所以没有进行截断处理,然而在实际工程中,由于数位的限制,还是要注意这点。

FIR主程序就是描述图2.2的FIR滤波器结构,其行为描述,具体可以用以下代码实现:

其中x1~x8通过前一个值对后一个值的赋值,可以实现移位操作;而s1~s4是用来存放首位对称相加的结果;然后y1~y4是乘法输出的结果,通过out1与out2的相加,最后输出到结果out。

其中乘法的运算,我们调用4次乘法模块(因为线性FIR滤波器是对称结构,所以可以只进行8/2=4次的乘法运算。

(3)乘法模块

对于有符号的小数运算,在FPGA里面,其实是和有符号整数的运算是一样的。只是定点数的小数点的位置,我们需要牢记,在最后输出结果的时候,要适当的进行移位。

由于负数在Verilog中是以补码形式保存的,所以在输入16位有符号数的时候,在进行相乘之前,要把负数变为原码再相乘,而正数的补码就是其本身,所以不用转换。然后把符号位提出来,进行异或运算,得到输出的符号位,再把有效数位的原码进行相乘,最后得到1位符号位和30位相乘结果。为了补全32位,可以在最低位加上一个无关位0。最终输出如果是负数,还需要把它变成补码的形式输出。至此,一个有符号的小数乘法运算就完成了。

根据以上思路,我们可以写出出乘法模块的代码:

3)综合设计模块

为了把rom模块和FIR主程序连接起来,我们需要编写一个顶层文件。

5.分析验证

设计好以上模块后,可以进行编译综合了。最后的编译综合结果如下图所示:

图5.1 编译结果

从上图可以看出,最后编译成功,程序设计没有语法错误。但具体要测试其工作是否正常,我们接下来,就需要用到modelsim对其进行仿真。

首先我们看看其RTL视图:

图5.2 FIR滤波器RTL视图

从上图的结构可以看出,我们要写testbench文件,就需要三个输入,一个输出。其中一个输入是时钟,一个复位信号,还有随时钟变化的地址输入和最后的输出。根据此可以写出测试文件:

由于最后输出的数是补码,为了能在modelsim的下方报告栏能显示出正常的十进制负数,我们定义了一个有符号寄存器out1,然后通过$display命令把结果按照时钟一个个输出到报告栏里。

仿真得到的波形和结果如下图所示:

图5.3 modelsim仿真波形

图5.4 modelsim输出结果显示

最后把报告栏里显示的结果,复制一部分到txt文件里,然后供matlab绘图用。

图5.5 把modelsim输出结果保存到txt中

最后执行以下代码,画出FIR滤波器输出的仿真波形:

由于我们没有对输出的35位数据进行截断处理,所以在最后的输出结果,我们要处以2^23。这是因为两个Q11的小数相乘,其小数位扩大了11位,变成了22位;然后加上1位的无关位,所以最后输出要处以2的23次方。

最后得出滤波器仿真的波形和matlab产生的结果进行比较:

图5.6 当输入信号为x1时,modelsim输出的波形和matlab仿真的波形比较

图5.7当输入信号为x2时,modelsim输出的波形和matlab仿真的波形比较由于输出结果是随机选取,所以相位上会有偏差。但可以看得出,modelsim的输出波形是和matlab的输出波形一致的,可以确定滤波器正常工作,达到了预期的效果。

6.设计总结

通过本次FIR低通滤波器的设计,我对Verilog这门语言有了更深入的理解和认识,掌握了FPGA对有符号小数乘法的处理技巧。另外通过对设计进行modelsim和matlab的联合仿真,使我对这两个工具的操作水平有了进一步的提高。

刚开始做这个设计的时候,下了很多的源代码,参考了人家不少的程序,发现其设计的方法都不一样,但是这些程序都没有涉及到有符号小数的乘法处理问题。所以我决定参照别人的程序写一个有符号小数的乘法运算模块应用到FIR滤波器中,取得了很好的效果。

在使用modelsim对设计进行仿真的时候,由于这个设计使用了altera的romIP核,所

以在编译和仿真的时候遇到了很多的问题。首先要仿真IP核,要添加220model.v和altera_mf.v这两个文件到modelsim的project里面,然后还要下载一个“conver_hex2ver.dll”的控件,到modelsim的win32aloem文件夹里,再在modelsim.ini 中的List of dynamic…下面添加上:

“Veriuser = C:\altera\10.0\modelsim_ase\win32aloem\convert_hex2ver.dll”

这一行,才能够让rom正常读出hex文件的内容,从而实现对系统的正常仿真。虽然过程很曲折,但收获很大,这是我首次对这样一个完整的模块进行仿真,能解决这些问题,为今后的设计和应用积累了大量的经验。

通过分析这次设计,其实也存在不足的地方。在FIR系统模块里,其实我可以加上一个COUNT模块,用来产生地址信号,这样用modelsim仿真的时候只需要时钟和复位的输入即可,可以使系统看上去更完整。但由于本设计是基于modelsim仿真为目的,所以就没添加上去,而是在测试模块里补充;另外,对输出数据的数位处理,还不够恰当。输出的35位数据,其实可以通过截断,变成更低数位的输出。当然对于仿真的结果是没影响,但如果应用到实际的工程里,由于实际数位的限制,还是需要进行截断处理的。

总的来说,本次设计还是很成功的,希望以后能继续深入学习,积累更多的经验。

fir低通滤波器设计(完整版)

电子科技大学信息与软件工程学院学院标准实验报告 (实验)课程名称数字信号处理 电子科技大学教务处制表

电 子 科 技 大 学 实 验 报 告 学生姓名: 学 号: 指导教师: 实验地点: 实验时间:14-18 一、实验室名称:计算机学院机房 二、实验项目名称:fir 低通滤波器的设计 三、实验学时: 四、实验原理: 1. FIR 滤波器 FIR 滤波器是指在有限范围内系统的单位脉冲响应h[k]仅有非零值的滤波器。M 阶FIR 滤波器的系统函数H(z)为 ()[]M k k H z h k z -==∑ 其中H(z)是k z -的M 阶多项式,在有限的z 平面内H(z)有M 个零点,在z 平面原点z=0有M 个极点. FIR 滤波器的频率响应 ()j H e Ω 为 0 ()[]M j jk k H e h k e Ω -Ω ==∑ 它的另外一种表示方法为 () ()()j j j H e H e e φΩΩΩ=

其中 () j H e Ω和()φΩ分别为系统的幅度响应和相位响应。 若系统的相位响应()φΩ满足下面的条件 ()φαΩ=-Ω 即系统的群延迟是一个与Ω没有关系的常数α,称为系统H(z)具有严格线性相位。由于严格线性相位条件在数学层面上处理起来较为困难,因此在FIR 滤波器设计中一般使用广义线性相位。 如果一个离散系统的频率响应 ()j H e Ω 可以表示为 ()()()j j H e A e αβΩ-Ω+=Ω 其中α和β是与Ω无关联的常数,()A Ω是可正可负的实函数,则称系统是广义线性相位的。 如果M 阶FIR 滤波器的单位脉冲响应h[k]是实数,则可以证明系统是线性相位的充要条件为 [][]h k h M k =±- 当h[k]满足h[k]=h[M-k],称h[k]偶对称。当h[k]满足h[k]=-h[M-k],称h[k]奇对称。按阶数h[k]又可分为M 奇数和M 偶数,所以线性相位的FIR 滤波器可以有四种类型。 2. 窗函数法设计FIR 滤波器 窗函数设计法又称为傅里叶级数法。这种方法首先给出()j d H e Ω, ()j d H e Ω 表示要逼近的理想滤波器的频率响应,则由IDTFT 可得出滤波器的单位脉冲响应为 1 []()2j jk d d h k H e e d π π π ΩΩ-= Ω ? 由于是理想滤波器,故 []d h k 是无限长序列。但是我们所要设计的FIR 滤波 器,其h[k]是有限长的。为了能用FIR 滤波器近似理想滤波器,需将理想滤波器的无线长单位脉冲响应 []d h k 分别从左右进行截断。 当截断后的单位脉冲响应 []d h k 不是因果系统的时候,可将其右移从而获得因果的FIR 滤波器。

fir低通滤波器设计报告

滤波器设计原理 本文将介绍数字滤波器的设计基础及用窗函数法设计FIR 滤波器的方法,运用MATLAB 语言实现了低通滤波器的设计以及用CCS软件进行滤波效果的观察。读取语音文件,并加入一定的随机噪声,最后使用窗函数滤波法进行语音滤波,将加噪后的语音文件转换为.dat文件使其能和ccs软件链接,输出个阶段的时域和频域波形。 根据数字滤波器冲激响应函数的时域特性。可将数字滤波器分为两种,即无限长冲激响应( IIR) 滤波器和有限长冲激响应(FIR) 滤波器。IIR 滤波器的特征是具有无限持续时间的冲激响应;FIR 滤波器冲激响应只能延续一定时间。其中FIR 滤波器很容易实现严格的线性相位,使信号经过处理后不产生相位失真,舍入误差小,稳定等优点。能够设计具有优良特性的多带通滤波器、微分器和希尔伯特变换器,所以在数字系统、多媒体系统中获得极其广泛的应用。FIR数字滤波器的设计方法有多种,如窗函数设计法、最优化设计和频率取样法等等。而随着MATLAB软件尤其是MATLAB 的信号处理工具箱和Simulink 仿真工具的不断完善,不仅数字滤波器的计算机辅助设计有了可能而且还可以使设计达到最优化。 FIR滤波器的窗函数法的设计 采用汉明窗设计低通FIR滤波器 使用b=fir1(n,Wn)可得到低通滤波器。其中,0Wn1,Wn=1相当于0.5。其语法格式为 b=fir1(n,Wn); 采用:b=fir1(25, 0.25); 得到归一化系数:

或者在命令行输入fdatool进入滤波器的图形设置界面,如下图所示 得到系数(并没有归一化) const int BL = 26; const int16_T B[26] = { -26, 33, 126, 207, 138, -212, -757, -1096, -652, 950, 3513, 6212, 7948, 7948, 6212, 3513, 950, -652, -1096, -757, -212, 138, 207, 126, 33, -26 }; FIR滤波器的设计(Matlab) 技术指标为:采用25阶低通滤波器,汉明窗(Hamming Window)函数,截止频率为1000Hz,采样频率为8000Hz,增益40db。 下面的程序功能是:读取语音文件,并加入一定的随机噪声,最后使用窗函数滤波法进行语音滤波,将加噪后的语音文件转换为.dat文件使其能和ccs软件链接,输出个阶段的时域和频域波形。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

基于matlab的FIR低通高通带通带阻滤波器设计

基于matlab的FIR低通-高通-带通-带阻滤波器设计

————————————————————————————————作者:————————————————————————————————日期:

北京师范大学 课程设计报告 课程名称: DSP 设计名称:FIR 低通、高通带通和带阻数字滤波器的设计姓名: 学号: 班级: 指导教师: 起止日期: 课程设计任务书

学生班级: 学生姓名: 学号: 设计名称: FIR 低通、高通带通和带阻数字滤波器的设计 起止日期: 指导教师: 设计目标: 1、采用Kaiser 窗设计一个低通FIR 滤波器 要求: 采样频率为8kHz ; 通带:0Hz~1kHz ,带内波动小于5%; 阻带:1.5kHz ,带内最小衰减:Rs=40dB 。 2、采用hamming 窗设计一个高通FIR 滤波器 要求: 通带截至频率wp=rad π6.0, 阻带截止频率ws=rad π4.0, 通带最大衰减dB p 25.0=α,阻带最小衰减dB s 50=α 3、采用hamming 设计一个带通滤波器 低端阻带截止频率 wls = 0.2*pi ; 低端通带截止频率 wlp = 0.35*pi ; 高端通带截止频率 whp = 0.65*pi ; 高端阻带截止频率 whs = 0.8*pi ; 4、采用Hamming 窗设计一个带阻FIR 滤波器 要求: 通带:0.35pi~0.65pi ,带内最小衰减Rs=50dB ; 阻带:0~0.2pi 和0.8pi~pi ,带内最大衰减:Rp=1dB 。

FIR 低通、高通带通和带阻数字滤波器的设计 一、 设计目的和意义 1、熟练掌握使用窗函数的设计滤波器的方法,学会设计低通、带通、带阻滤波器。 2、通过对滤波器的设计,了解几种窗函数的性能,学会针对不同的指标选择不同的窗函数。 二、 设计原理 一般,设计线性相位FIR 数字滤波器采用窗函数法或频率抽样法,本设计采用窗函数法,分别采用海明窗和凯泽窗设计带通、带阻和低通。 如果所希望的滤波器的理想频率响应函数为)(jw d e H ,如理想的低通,由信号系统的知识知道,在时域系统的冲击响应h d (n)将是无限长的,如图2、图3所示。 H d (w) -w c w c 图2 图3 若时域响应是无限长的,则不可能实现,因此需要对其截断,即设计一个FIR 滤波器频率响应∑-=-=1 0)()(N n jwn jw e n h e H 来逼近)(jw d e H ,即用一个窗函数w(n)来 截断h d (n),如式3所示: )()()(n w n h n h d = (式1)。 最简单的截断方法是矩形窗,实际操作中,直接取h d (n)的主要数据即可。 )(n h 作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函数为: ∑-=-=1 0)()(N n jwn jw e n h e H (式2) 令jw e z =,则 ∑-=-=1 0)()(N n n z n h z H (式3), 式中,N 为所选窗函数)(n w 的长度。

FIR低通数字滤波器的设计要点

《DSP技术与应用》课程设计报告 课题名称:基于DSP Builder的FIR数字滤波器的设计与实现 学院:电子信息工程学院 班级:11级电信本01班 学号: 姓名:

题目基于DSP Builder的FIR数字滤波器的设计与实现 摘要 FIR数字滤波器是数字信号处理的一个重要组成部分,由于FIR数字滤波器具有严格的线性相位,因此在信息的采集和处理过程中得到了广泛的应用。本文介绍了FIR数字滤波器的概念和线性相位的条件,分析了窗函数法、频率采样法和等波纹逼近法设计FIR滤波器的思路和流程。在分析三种设计方法原理的基础上,借助Matlab仿真软件工具箱中的fir1、fir2和remez子函数分别实现窗函数法、频率采样法和等波纹逼近法设计FIR滤波器。然后检验滤波器的滤波效果,采用一段音频进行加噪声然后用滤波器滤,对比三段音频效果进而对滤波器的滤波效果进行检验。仿真结果表明,在相频特性上,三种方法设计的FIR滤波器在通带内都具有线性相位;在幅频特性上,相比窗函数法和频率采样法,等波纹逼近法设计FIR滤波器的边界频率精确,通带和阻带衰减控制。

Abstract FIR digital filter is an important part of digital signal processing, the FIR digital filter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window function method and frequency sampling method and the ripple approximation method of FIR filter design ideas and processes. Based on analyzing the principle of three kinds of design methods, by means of fir1, fir2 and Remez function of Matlab simulation software in the Toolbox window function method and frequency sampling method and respectively realize equiripple approximation method to design FIR filter. Then test the filtering effect of the filter, using an audio add noise and then filter, test three audio effects and comparison of filter filtering effect. Simulation results show that the phase frequency characteristic, three design methods of FIR filter with linear phase are in the pass band; the amplitude frequency characteristics, compared with the window function method and frequency sampling method, equiripple approximation method Design of FIR filter with accurate boundary frequency, the passband and stopband attenuation control.

用窗函数法设计FIR数字低通滤波器要点

河北科技大学课程设计报告 学生姓名:学号: 专业班级: 课程名称: 学年学期 指导教师: 20 年月

课程设计成绩评定表 学生姓名学号成绩 专业班级起止时间 设计题目 指 导 教 师 评 指导教师: 语 年月日

目录 1. 窗函数设计低通滤波器 1.1设计目的 (1) 1.2设计原理推导与计算 (1) 1.3设计内容与要求 (2) 1.4设计源程序与运行结果 (3) 1.5思考题 (10) 1.6心得体会 (14) 参考文献 (15)

1.窗函数设计低通滤波器 1.1设计目的 1. 熟悉设计线性相位数字滤波器的一般步骤。 2. 掌握用窗函数法设计FIR 数字滤波器的原理和方法。 3. 熟悉各种窗函数的作用以及各种窗函数对滤波器特性的影响。 4. 学会根据指标要求选择合适的窗函数。 1.2设计原理推导与计算 如果所希望的滤波器的理想的频率响应函数为() ωj d e H ,则其对应的单位脉冲响应为 ()() ωπ ωωπ π d e e H n h j j d d ?- = 21 (4.1) 窗函数设计法的基本原理是设计设计低通FIR 数字滤波器时,一般以理想低通滤波特性为逼近函数() ωj e H ,即 () ?????≤<≤=-π ωωωωωαω c c j j d ,, e e H 0,其中21-=N α ()() ()[]() a n a n d e e d e e H n h c j j j j d d c c --= = = ??- -- πωωπ ωπ ωαωω ωαω π π ω sin 21 21 用有限长单位脉冲响应序列()n h 逼近()n h d 。由于()n h d 往往是无限长序列,而且是非因果的,所以用窗函数()n ω将()n h d 截断,并进行加权处理,得到: ()()()n n h n h d ω= (4.2) ()n h 就作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函 数() ωj e H 为 ()()n j N n j e n h e H ωω ∑-==1 (4.3) 式中,N 为所选窗函数()n ω的长度。 用窗函数法设计的滤波器性能取决于窗函数()n ω的类型及窗口长度N 的取

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验内容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB 函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB 函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

窗函数设计FIR低通滤波器汇总

西南科技大学 课程设计报告 课程名称:数字信号处理与通信原理课程设计 设计名称: FIR数字滤波器分析与应用 姓名: 学号: 班级: 指导教师: 起止日期: 6.26 – 7.6

课程设计任务书 学生班级:通信学生姓名:学号: 设计名称:窗函数设计FIR低通滤波器 起止日期: 6.26~7.6 指导教师: 课程设计学生日志

课程设计考勤表 课程设计评语表

窗函数设计FIR 低通滤波器 一、设计目的和意义: 1、目的 (1) 掌握用窗函数法设计FIR 数字滤波器的原理和方法。 (2) 熟悉线性相位FIR 数字滤波器特性。 (3) 了解各个窗函数对滤波器特性的影响。 2、意义:有限长单位冲激响应数字滤波器可以做成具有严格的线性相位,同时又可以具 有任意的幅度特性。滤波器的性能只由窗函数的形状决定。 二、设计原理: 假如题目所要求设计的滤波器的频率响应为H d (e ωj ),则要设计一个FIR 滤波器频应为 H(e ω j )= ∑=-1 -N 0 n j )(n e n h ω ()1 来逼近。但是设计却是在时域进行的,所以用傅氏反变换导出h d (n): h d (n) = ωπ π π ωωd e e H n j j d ? -)(21 ()2 但是要求设计的FIR 滤波器,它的h(n)是有限长的,但是h d (n)却是无限长的,所以要用一个有限长度的窗函数)(n ω来截取h d (n),即 h(n)= )(n ωh d (n) ()3 h(n)就是实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函数即为()1式,其中N 就是所选择的窗函数)(n ω的长度。 本课程设计的要求是利用矩形窗,海宁窗,汉明窗各设计一个FIR 低通滤波器。因此 首先对这三个窗函数进行简要说明。 1.矩形窗:

FIR低通数字滤波器的设计要点

FIR低通数字滤波器的设计要点 《DSP技术与应用》 课程设计报告 课题名称:基于DSP Builder的FIR数字滤波器的设计与实现 学院:电子信息工程学院 班级: 11级电信本01班 学号: 姓名: 题目基于DSP Builder的FIR数字滤波器的设计与实现 摘要 FIR数字滤波器是数字信号处理的一个重要组成部分,于FIR数字滤波器具有严格的线性相位,因此在信息的采集和处理过程中得到了广泛的应用。介绍了FIR数字滤波器的概念和线性相位的条件,分析了窗函数法、频率采样法和等波纹逼近法设计FIR滤波器的思路和流程。在分析三种设计方法原理的基础上,借助Matlab仿真软件工具箱中的fir1、fir2和remez子函数分别实现窗函数法、频率采样法和等波纹逼近法设计FIR滤波器。然后检验滤波器的滤波效果,采用一段音频进行加噪声然后用滤波器滤,对比三段音频效果

进而对滤波器的滤波效果进行检验。仿真结果表明,在相频特性上,三种方法设计的FIR滤波器在通带内都具有线性相位;在幅频特性上,相比窗函数法和频率采样法,等波纹逼近法设计FIR滤波器的边界频率精确,通带和阻带衰减控制。 Abstract FIR digital filter is an important part of digital signal processing, the FIR digital filter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window function method and frequency sampling method and the ripple approximation method of FIR filter design ideas and processes. Based on analyzing the principle of three kinds of design methods, by means of fir1, fir2 and Remez function of Matlab simulation software in the Toolbox window function method and frequency sampling method and respectively realize equiripple approximation method to design FIR filter. Then test the filtering effect of the filter, using an audio add noise and then filter, test three audio effects and

(完整版)基于DSP的FIR数字低通滤波器设计

电气控制技术应用设计 题目基于DSP的FIR数 字低通滤波器设计 二级学院电子信息与自动化学院 专业电气工程及其自动化 班级 113070404 学生姓名黄鸿资学号 11307991032 学生姓名姜天宇学号 11307991015 指导教师蒋东荣 时间:2016年8月29日至2016年9月9日 考核项目平时成绩20分设计35分报告15分答辩30分得分 总分考核等级教师签名

一绪论 (3) (一)课题设计的目的 (3) (二)课题内容 (3) (三)设计方法 (3) (四)课程设计的意义 (4) 二FIR滤波器基本理论 (4) (一)FIR滤波器的特点 (4) (二)FIR滤波器的基本结构 (4) (三)Chebyshev逼近法 (5) 三用MATLAB辅助DSP设计FIR滤波器 (5) (一)利用fir函数设计FIR滤波器并在在MATLAB环境仿真 (6) (二) Matlab中自带工具箱FDATool快速的实现滤波器的设计 (10) 1.确定一个低通滤波器指标 (10) 2.打开MATLAB的FDATool (10) 3.选择Design Filter (11) 4.滤波器分析 (11) 5.导出滤波器系数 (13) (三)滤波器设计总结 (13) (四)DSP所需文件配置 (14) 四基于DSP的FIR滤波器实现 (14) (一)DSP中滤波器的算法实现 (15) 1.线性缓冲区法 (15) 2.循环缓冲区法 (15) (二)C语言实现FIR (15) (三)CSS仿真调试 (17) (四)滤波器的仿真测试 (18) 五 DSP数字滤波器与硬件低通滤波器对比 (21) (一)二阶有源低通滤波电路的构建 (21) (二)二阶低通滤波器参数计算 (22) (三)在protues环境下的仿真测试 (22) (四)实物硬件连接以及测试结果 (22) (五)利用FilterPro的低通滤波器设计 (23) 1 选择filter类型 (24) 2 滤波器参数设定 (24) 3 滤波器的算法选择 (25) 4 滤波器的拓扑结构选择 (25) (六) DSP数字滤波器与硬件电路滤波器对比总结 (26) 六课程设计总结 (26) 参考文献 (28)

FIR低通滤波器设计

信息处理课程设计 姓名 班级 学院 学号

目录 一、前言 二、FIR滤波器简介 三、FIR低通滤波器的设计 四、FIR数字滤波器程序设计与仿真 五、小结 六、参考文献

一、前言 数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号转换为所要求的输出离散时间信号的特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。 数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。 数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。 数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的或非线性的。应用最广的是线性、时不变数字滤波器,以及FIR滤波器。 二、FIR滤波器简介 FIR滤波器:有限长单位冲激响应滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。 有限长单位冲激响应(FIR)滤波器有以下特点: (1) 系统的单位冲激响应h (n)在有限个n值处不为零; (2) 系统函数H(z)在|z|>0处收敛,极点全部在z = 0处(因果系统); (3) 结构上主要是非递归结构,没有输出到输入的反馈,但有些结构中(例如频率抽样结构)也包含有反馈的递归部分。

基于matlab的FIR低通,高通,带通,带阻滤波器设计

北京师范大学 课程设计报告 课程名称:DSP 设计名称: FIR 低通、高通带通和带阻数字滤波器的设计姓名: 学号: 班级: 指导教师: 起止日期: 课程设计任务书

学生班级:设计名称:起止日期:学生姓名:学号: FIR 低通、高通带通和带阻数字滤波器的设计指导教师: 设计目标: 1、采用 Kaiser 窗设计一个低通 FIR 滤波器 要求: 采样频率为 8kHz ; 通带: 0Hz~1kHz,带内波动小于5%; 阻带: 1.5kHz,带内最小衰减: Rs=40dB。 2、采用 hamming 窗设计一个高通FIR 滤波器 要求: 通带截至频率wp= 0.6 rad , 阻带截止频率ws= 0.4 rad, 通带最大衰减p0.25dB ,阻带最小衰减s50dB 3、采用 hamming设计一个带通滤波器 低端阻带截止频率wls = 0.2*pi; 低端通带截止频率wlp = 0.35*pi; 高端通带截止频率whp = 0.65*pi; 高端阻带截止频率whs = 0.8*pi; 4、采用 Hamming 窗设计一个带阻 FIR 滤波器 要求: 通带: 0.35pi~0.65pi,带内最小衰减Rs=50dB; 阻带: 0~0.2pi 和 0.8pi~pi,带内最大衰减: Rp=1dB。

FIR 低通、高通带通和带阻数字滤波器的设计 一、设计目的和意义 1、熟练掌握使用窗函数的设计滤波器的方法,学会设计低通、带通、带阻滤 波器。 2、通过对滤波器的设计,了解几种窗函数的性能,学会针对不同的指标选择 不同的窗函数。 二、设计原理 一般,设计线性相位 FIR 数字滤波器采用窗函数法或频率抽样法,本设计采用窗 函数法,分别采用海明窗和凯泽窗设计带通、带阻和低通。 如果所希望的滤波器的理想频率响应函数为H d (e jw ) ,如理想的低通,由信号系统的知识知道,在时域系统的冲击响应h d(n) 将是无限长的,如图2、图 3 所示。 H d(w) -w c w c 图 2图 3 若时域响应是无限长的,则不可能实现,因此需要对其截断,即设计一个FIR 滤波 N 1 器频率响应 H (e jw )h(n)e jwn来逼近H d(e jw),即用一个窗函数w(n)来截断 n 0 h d(n) ,如式 3 所示: h(n) h d (n) w(n)(式1)。 最简单的截断方法是矩形窗,实际操作中,直接取h d(n) 的主要数据即可。 h( n) 作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函数为: N 1 H (e jw )h(n)e jwn(式 2) n 0 令 z e jw,则 N 1 H ( z)h(n)z n(式 3), n 0 式中, N 为所选窗函数w(n)的长度。

实验5FIR数字滤波器设计与软件实现汇总

信息院14电信(师范) 实验五:FIR数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 2.实验内容及步骤 (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 程序代码:(信号产生函数xtg程序清单) function xt=xtg(N) %êμ?é??D?o?x(t)2úéú,2¢??ê?D?o?μ?·ù?μì?D??ú?? %xt=xtg(N) 2úéúò???3¤?è?aN,óD?óD????μ??éùμ?μ¥?μμ÷·ùD?o?xt,2é?ù?μ?êFs=10 00Hz

%??2¨?μ?êfc=Fs/10=100Hz,μ÷???y?ò2¨?μ?êf0=fc/10=10Hz. N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %??2¨?μ?êfc=Fs/10£?μ¥?μμ÷??D?o??μ?ê?af0=F c/10; mt=cos(2*pi*f0*t); %2úéúμ¥?μ?y?ò2¨μ÷??D?o?mt£??μ?ê?af0 ct=cos(2*pi*fc*t); %2úéú??2¨?y?ò2¨D?o?ct£??μ?ê?afc xt=mt.*ct; %?à3?2úéúμ¥?μμ÷??D?o?xt nt=2*rand(1,N)-1; %2úéú???ú??éùnt %=======éè????í¨??2¨?÷hn,ó?óú??3y??éùnt?Dμ?μí?μ3é·?,éú3é??í¨ ??éù======= fp=150; fs=200;Rp=0.1;As=70; % ??2¨?÷??±ê fb=[fp,fs];m=[0,1]; % ????remezordoˉêy?ùDè2?êyf,m,dev dev=[10^(-As/20),(10^(Rp/20)-1)/(10^(Rp/20)+1)]; [n,fo,mo,W]=remezord(fb,m,dev,Fs); % è·?¨remezoˉêy?ùDè2?êy hn=remez(n,fo,mo,W); % μ÷ó?remezoˉêy??DDéè??,ó?óú??3y??éùnt?Dμ?μí?μ3é·? yt=filter(hn,1,10*nt); %??3y???ú??éù?Dμí?μ3é·?£?éú3é??í¨ ??éùyt %=========================================================== ===== xt=xt+yt; %??éù?óD?o? fst=fft(xt,N);k=0:N-1;f=k/Tp; subplot(3,1,1);plot(t,xt);grid;xlabel('t/s');ylabel('x(t)'); axis([0,Tp/5,min(xt),max(xt)]);title('(a) D?o??ó??éù2¨D?') subplot(3,1,2);plot(f,abs(fst)/max(abs(fst)));grid;title('(b) D?o??ó??éùμ??μ?×') axis([0,Fs/2,0,1.2]);xlabel('f/Hz');ylabel('·ù?è')输出波形: (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅

FIR数字低通滤波器设计

第1章 绪论 1.1设计的作用、目的 课程设计是理论学习的延伸,是掌握所学知识的一种重要手段,对于贯彻理论联系实际、提高学习质量、塑造自身能力等于有特殊作用。本次课程设计一方面通过MATLAB 仿真设计内容,使我们加深对理论知识的理解,同时增强其逻辑思维能力,另一方面对课堂所学理论知识作一个总结和补充。 1.2设计任务及要求 通过课程设计各环节的实践,应使学生达到如下要求: 1.掌握双线性变换法及脉冲响应不变法设计IIR 数字滤波器以及窗函数法 设计FIR 数字滤波器的原理、具体方法及计算机编程。 2.观察双线性变换法、脉冲响应不变法及窗函数法设计的滤波器的频域特性,了解各种方法的特点。 3.用MATLAB 画出三种方法设计数字滤波器的幅频特性曲线,记带宽和衰减量,检查结果是否满足要求。 1.3设计内容 设计题目:FIR 数字滤波器的设计 设计内容: (1)设计一线性相位FIR 数字低通滤波器,截止频率π2.0=Ωf ,过渡带宽度 π4.0≤?Ω,阻带衰减dB A s 30>。 (2)设计一线性相位FIR 数字低通滤波器,截止频率π2.0=Ωf ,过渡带宽度π4.0≤?Ω,阻带衰减dB A s 50>。

第2章FIR 数字低通滤波器的原理 2.1 数字低通滤波器的设计原理 FIR 数字滤波器传统的设计方法有窗函数法、频率抽样法和等波纹逼近法。用窗函数设计FIR 数字滤波器就是用有限长的脉冲相应逼近序列,其基本设计思想为:首先选定一个理想的选频滤波器,然后截取它的脉冲响应得到线性相位。 滤波器(filter ),是一种用来消除干扰杂讯的器件,将输入或输出经过过滤而得到纯净的直流电。对特定频率的频点或该频点以外的频率进行有效滤除的电路,就是滤波器,其功能就是得到一个特定频率或消除一个特定频率。就是允许某一部分频率的信号顺利的通过,而另外一部分频率的信号则受到较大的抑制,它实质上是一个选频电路。 1.滤波器的概念 滤波器是对输入信号起滤波的作用的装置。当输入、输出是离散信号,滤波器的冲激响应是单位抽样响应()n h 时,这样的滤波器称作数字滤波器(DF )。DF 是由差分方程描述的一类特殊的离散时间系统。 2.数字滤波器的系统函数与差分方程: 系统函数 (2-1) 差分方程 对上式进行 Z 反变换,即得: (2-2) 3.数字滤波器结构的表示 数字滤波器分FIR 数字滤波器和IIR 数字低通滤波器。其中FIR 低通滤波器分直接型和级联型,IIR 分直接型、级联型和并联型。 方框图法、信号流图法 ∑∑==-+-= N k M k k k k n x b k n y a n y 1 )()()(∑∑=-=--= = N k k M k k z a z b z X z Y z H k k 1 1) ()()(

FIR低通滤波器

目录 1.课程设计目的 (1) 2.课题设计要求 (1) 3.设计原理 (1) 3.1数字滤波器的优点 (2) 3.2 FIR数字滤波器的窗函数设计方法 (2) 4.实验程序及结果 (7) 4.1 实验程序 (7) 4.2 实验结果 (9) 5.心得体会 (11) 6.参考资料 (12)

FIR低通滤波器的设计 1.课程设计目的 1、加深对数字信号处理理论方面的理解,提高学生用程序实现相关信号处理的 能力。 2、使学生掌握C或MATLAB实现数字信号处理中频谱分析的方法和步骤。 3、使学生掌握用MATLAB实现IIR和FIR滤波器的设计方法、过程,为以后的设 计打下良好基础。 4、掌握窗函数法FIR低通滤波器的设计。 2.课题设计要求 1、既要有设计的理论内容,也要有每一步的MATLAB处理结果。 2、应用MATLAB平台,采用函数法设计一FIR低通数字滤波器: Ωp=2π*103(rad/sec),Ωst=2π*3*103(rad/sec),Ωs=2π*104(rad/sec),阻带衰减不小于-50db。 3、应用MATLAB平台。 3.设计原理 随着通信与信息技术的发展,数字信号在该领域显得越来越重要。同时数字信号处理在语音、自动控制、航空航天和家用电器领域也得到了广泛应用,它已成为当今一门极其重要的学科和技术。在数字信号处理中起重要作用并获得广泛应用的是数字滤波器,数字滤波器是数字信号处理的基础。Matlab(Matrix laboratory)是美国Math Works公司推出的具有强大数值分析、矩阵运算、图形绘制和数据处理等功能的软件,现在广泛应用到教学、科研、功能工程设计领域。随着Mallab软件信号处理软件箱的推出,Mallab已成为信息处理,特别是数字

基于语音信号去噪处理的FIR低通滤波器设计

摘要 本次课程设计分析了FIR数字滤波器的基本原理,在MATLAB环境下利用窗函数设计FIR低通滤波器,实现了FIR低通滤波器的设计仿真。本文根据滤波后的时域图和原始语音信号时域图的比较,以及滤波后信号的频谱图和原始语音信号频谱图的比较,最后回放滤波后语音信号,滤波后的语音信号与原始语音信号一样清晰,仿真结果表明,设计的FIR滤波器的各项性能指标均达到了指定要求,设计过程简便易行。该方法为快速、高效地设计FIR滤波器提供了一个可靠而有效的途径。 关键词:DSP ;FIR;低通滤波器;语音信号;MATLAB

目录 第一章引言 (1) 1.1 设计目的及意义 (1) 1.2 设计任务及要求 (2) 1.3 课程设计平台 (2) 第二章基本原理 (3) 2.1 FIR滤波器的基本概念 (3) 2.2 FIR滤波器的特点 (3) 2.3 FIR滤波器的种类 (4) 第三章FIR数字低通滤波器的设计 (5) 3.1 FIR低通滤波器设计原理 (5) 3.2 FIR低通滤波器的设计方法 (5) 3.2.1 频率采样法 (5) 3.2.2 最优化设计 (6) 3.2.3 窗函数法 (6) 3.3 窗函数法设计步骤 (8) 第四章详细设计 (9) 4.1 语音信号的采集 (9) 4.2 语音信号的读入与打开 (10) 4.3 语音信号的FFT变换 (11) 4.4 含噪信号的合成 (12) 4.5 利用FIR滤波器滤波 (13) 4.6 结果分析 (14) 总结 (15) 参考文献 (16) 附录 (17) 致谢 (21)

第一章引言 随着信息科学和计算机技术的不断发展,数字信号处理(DSP,Digital Signal Processing)的理论和技术也得到了飞速的发展,并逐渐成为一门重要的学科,它的重要性在日常通信、图像处理、遥感、声纳、生物医学、地震、消费电子、国防军事、医疗方面等显得尤为突出。在我们面临的信息革命中,数字信号处理几乎涉及了所有的工程技术领域。 数字信号处理是一种将信号以数字形式进行处理的一种理论和技术,它的目的是将真实世界中的一些信号进行分析并滤波,最后得出其中的有用的信号。数字滤波器是数字信号处理的一种,一般根据单位脉冲响应h(n)分为无限脉冲响应(IIR)和有限脉冲响应(FIR)系统。IIR数字滤波器的设计方法简单,特别是采用双线性变换法来设计的数字滤波器不存在频域混叠的现象,但是IIR滤波器存在一个较为明显的缺憾,就是它的相位响应一般都是非线性的,而在传输频带内的相位响应如果不是线性的,就会造成有用信号的传输失真,而FIR数字滤波器不仅可以设计成任意的幅度响应,而且可以设计成在通频带内具有良好的线性相位响应。FIR数字滤波器的单位脉冲响应h(n)有限长,所以FIR数字滤波器是稳定的,不存在稳定性的问题,且可以通过快速傅里叶变换(FFT)的算法来实现信号滤波,大大的提高的运算效率。[1]因此,FIR数字滤波器日益引起了人们的关注。 本课程设计是采用kaiser窗设计的FIR滤波器对语音信号进行滤波去噪。通过课程设计了解FIR滤波器设计的原理和步骤,掌握用Matlab语言设计滤波器的方法,了解DSP对FIR滤波器的设计及编程方法。通过观察语音信号滤波前后的时域波形的比较,加深对滤波器作用的理解。通过对比滤波前后波形图的比较和放滤波前后语音信号的对比,可以看出滤波器对有用信号无失真放大具有重大意义。 1.1 设计目的及意义 《信号处理》课程设计是现代信号处理技术课程的有效补充部分,通过课程设计,使得学生在设计过程中了解完整的现代信号处理技术的工程实现方法和流程,从而对现代信号处理技术的理论有更深入的认识。本课程设计的目的是通过学生使用MATLAB等工具,采用窗函数法设计符合一定参数要求的FIR滤波器,并用所设计的滤波器对加噪语音信号进行滤波去噪处理。

相关文档
相关文档 最新文档