文档库 最新最全的文档下载
当前位置:文档库 › 微机原理实验报告

微机原理实验报告

微机原理实验报告

引言

微机原理实验是计算机科学与技术专业的一个重要实验环节,通过实践操作,学生们可以深入理解计算机硬件的基本结构和工作原理。本篇实验报告将从实验目的、实验装置、实验步骤、实验结果以及实验心得等几个方面进行论述。

一、实验目的

本次实验的主要目的是通过搭建微机系统的硬件结构,深入理解计算机的各个部件之间的连接和协作关系。具体包括以下几个方面的内容:

1. 了解微机系统的基本构成和原理。

2. 熟悉微机系统的硬件调试和工作方式。

3. 掌握基本的计算机组成与结构知识。

二、实验装置

本次实验所用的装置主要包括以下硬件设备:

1. 主板:包括主处理器和内存等一系列组件。

2. 显示器:用于显示计算机的输出结果。

3. 键盘和鼠标:用户与计算机进行交互的输入设备。

三、实验步骤

1. 将主板与电源连接,确保供电正常。

2. 将显示器连接至主板的显卡接口,确保显示器正常亮起。

3. 将键盘和鼠标分别插入主板的相应接口,以实现输入功能。

4. 按下电源键启动计算机,观察主板灯光是否亮起,表示主板

运行正常。

5. 在显示器上观察到系统自检信息,并等待操作系统加载完成。

6. 通过鼠标点击或键盘输入进行一系列操作,例如打开软件、

编辑文档等。

7. 在完成操作后,正常关闭计算机,断开与主板的连接。

四、实验结果

通过以上实验步骤,我们成功搭建了一个微机系统,并成功运

行了操作系统。在实验过程中,主板灯光亮起,显示器正常工作,并且可以通过键盘和鼠标进行各类操作。我们能够在显示器上看

到系统自检的信息,并且顺利加载了操作系统。通过鼠标和键盘,我们还成功打开了一些应用程序进行操作。实验结果令人满意。

五、实验心得

通过本次实验,我们进一步深化了对微机原理的理解。通过亲

自搭建微机系统,我们更加直观地感受到了计算机硬件的工作原

理和各个部件之间的联系。实验过程中也让我们意识到了计算机

的脆弱性和重要性,需要我们在使用时进行细心操作并及时维护。通过这次实验,我们不仅增加了实践经验,还加深了对计算机原

理的理论理解。

结论

微机原理实验是计算机科学与技术专业中非常重要的一环,通

过实践操作,我们可以深入理解计算机硬件的基本结构和工作原理。通过本次实验,我们成功搭建了微机系统,并成功运行了操

作系统,进一步巩固了我们对微机原理的理解。该实验不仅提高

了我们的实践能力,还增加了我们与计算机硬件之间的感性认识,对今后的学习和工作都具有非常重要的意义。总体而言,本次实

验收获颇丰,对我们的学习和成长起到了积极促进作用。

微机原理综合实验报告

滨江学院 微机原理综合实验 实验报告 学生姓名 学号 专业信息工程 班级2016级 二O一八年十二月三十日

微机原理综合实验 实验一利用DEBUG调试程序调试程序段 1、实验目的 1)熟悉DEBUG有关命令的使用方法。 2)利用DEBUG掌握有关指令的功能。 3)利用DEBUG运行简单的程序段。 2、实验内容 1)进入和退出DEBUG程序。 2)学会DEBUG中的D命令、E命令、R命令、T命令、A命令、G命令等的使用。对于U命令、N命令、W命令等,也应试一下。 3)利用DEBUG,验证乘法、除法、加法、减法、带进位加、带借位减、堆栈操作指令、串操作指令的功能。 3、实验准备 1)仔细阅读有关DEBUG命令的内容,对有关命令,都要事先准备好使用的例子。2)作为例子,准备用A命令,输入在显示器上显示字符“S”的系统调用程序段。3)阅读将AX左移9位的程序段。 4、实验步骤 1)在DOS提示符下,进入DEBUG程序。 2)在DOS目录下启动DEBUG。 3)详细记录每一步所用的命令,以及查看结果的方法和具体结果。 5、实验报告要求 1)如何启动和退出DEBUG程序。 2)整理每个DEBUG命令使用的方法,实际示例及执行结果。 3)启动DEBUG后,要装入某一个.EXE文件,应通过什么方法实现? 实验结果:

实验二分支程序实验 1、实验目的 1)掌握分支程序的设计方法。 2)掌握利用DEBUG修改参数、检查结果的方法。 3)掌握汇编语言源程序的编辑、汇编、连接及调试过程。 2、实验内容 1)编写一个程序,显示AL寄存器中的两位十六进制数 2)编写一个程序,判别键盘上输入的字符;若是1-9字符,则显示之;若为A-Z 或a-z字符,均显示“c”;若是回车字符(其ASCII码为0DH),则结束程序,若为其它字符则不显示,继续等待新的字符输入。 3、实验准备 1)编写实验内容要求的两个程序。 2)写出调试以上程序,即修改程序参数,检查结果的操作方法。 3)熟悉源程序汇编、连接命令的使用方法即要回答的内容。 4、实验步骤 1)用EDIT或其它编辑软件,编写.ASM源程序,例如HEXASC.ASM及DSPKEY.ASM。2)对其进行汇编及连接,产生.EXE文件。 13 3)对.EXE文件进行调试运行。 (1)用DEBUG调试运行,学会修改AL内容的方法。 (2)对DSPKEY.EXE键入不同的字符,分别进行调试。 (3)在MS-DOS下运行这两个.EXE文件。 5、实验报告要求 1)画出两个程序的流程图,若要独立编写程序,应列出相应的程序清单。 2)说明本实验是如何利用DEBUG进行调试的。 6、参考程序清单 1)显示AL中两位十六进制数程序: ;DISPHEX.ASM CODE SEGMENT ASSUME CS:CODE START: MOV AL,3EH MOV BL,AL MOV DL,AL MOV CL,4

微机原理实验报告

目录 软件实验部分: 实验一清零程序 实验二拆字程序 实验三拼字程序 实验四数据区传送子程序 实验五数据排序实验 实验六查找相同数个数 实验七无符号双字节快速乘法子程序 实验八多分支程序 实验九脉冲计数(定时/计数器实验) 实验十电脑时钟(定时器、中断综合实验) 硬件实验部分: 实验一P1 口亮灯实验 实验二P1 口转弯灯实验实验三P3.3 口输入,P1 口输出 实验四工业顺序控制 实验五继电器控制 实验六8255 控制交通灯 实验七LED16X16点阵显示实验 实验八串并转换实验 实验九A/D 转换实验 实验十D/A 转换 实验十一电子音响 实验十二步进电机控制 实验十三8032 串行口应用实验㈠——双机通信实验十四小直流电机调速实验 软件实验部分本节共编了十个软件实验,通过这些实验程序的调试,使学生熟悉MCS-51 的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 本节提供的软件实验,涉及外部数据存储器扩展寻址操作,因此需按下图连接实验线路。

实验一清零程序 一、 实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、 实验内容 把2000H-20FFH 的内容清零 三、 程序框图 四、 实验步骤 用连续或单步方式运行程序,检查 2000-20FF 中执行程序前后的内容变化。 五、 思考 假使把2000H-20FFH 中的内容改成FF ,如何修改程序。 接P1.0到L1,试编写程序,对片外数据存储器6264进行读写操作,若L1闪动则表示6264RAM 读写正 常。(此思考题也可留在硬件实验中做) ORG 0640H 1 .将存储器单元的DO — D7总线接口用8芯扁平线与数据总线单元 连,存 储器单元的 A0 — A7地址接口与地址总线单元(低 储器单兀的 A8 — A12地址接口与地址总线单兀(咼 8) 部扩充的数据区的有效范围。 存储器单元的 存储器单元的 存储器单元的 2. 3. 4. DO — D7的任一接口相 8) A0 — A7的任一接口相连,存 A8 — A12的任一接口相连。注意外 WE/PGM 插孔与六位LED 左下方的 OE 插孔与六位LED 左下方的IORD CS1插孔与六位LED 右下方的IOWE 插孔相连。 IOWE 插孔相连。 插孔相连。

微机原理实验报告

微机原理实验报告 实验一显示程序实验 1、实验目的 1. 掌握在PC机上以十六进制形式显示数据的方法; 2. 掌握一些DOS函数调用的用法; 3.熟悉tddebug调试环境和涡轮的使用调试器。 2,实验室设备 1. PC微机。 3、实验内容 一般来说,程序需要显示运行状态和输出提示的结果,有些还需要在屏幕上显示数据区域的内容。本实验要求在屏幕上以十六进制数字的形式显示指定数据区域的数据,并通过DOS函数调用显示一些提示信息。DOS函数调用(int 21h)可以在实验中使用。 (1)显示单字输出 入口:ah = 02h 调用参数:DL =输出字符(2)显示字符串 入口:啊= 09h 调用参数:ds: DX =字符串地址,'$'是结束字符(3)键盘输入和echo 入口:ah = 01h 返回参数:Al =输出字符(4)返回DOS系统 入口:ah = 4CH 调用参数:Al =返回代码

第1页 4、实验步骤 1. 运行tddebug软件,选择编辑菜单,根据实验内容描述进行编辑编写实验程序,实验显示部分参考实验流程如图1-1所示; 2. 使用compile菜单中的compile和link对实验程序进行总结 编辑和连接; 3.使用rmrun菜单中的run运行程序并观察运行结果; 4. 使用rmrun 菜单中的debug来调试程序并观察调试过程, 执行数据传输指令后,各寄存器和数据区域的内容;5. 更改数据区中的数据以检查程序的正确性。 图1 - 1显示了项目实验的流程图 第10页 5、参考程序列表 数据段 MES DB 'Show a as hex:',0AH,0DH,'$' SD DB 'a'数据结束代码段 假设c:代码,DS:数据 开始:MOV AX,数据 MOV DS,斧头 Mov DX, offset MES;显示提示信息mov啊,09h int 21h MOV AL, DS:[DI] 艾尔,0 f0h;取较高的4位SHR Al, 4

微机原理实验报告

微机原理实验报告 引言 微机原理实验是计算机科学与技术专业的一个重要实验环节,通过实践操作,学生们可以深入理解计算机硬件的基本结构和工作原理。本篇实验报告将从实验目的、实验装置、实验步骤、实验结果以及实验心得等几个方面进行论述。 一、实验目的 本次实验的主要目的是通过搭建微机系统的硬件结构,深入理解计算机的各个部件之间的连接和协作关系。具体包括以下几个方面的内容: 1. 了解微机系统的基本构成和原理。 2. 熟悉微机系统的硬件调试和工作方式。 3. 掌握基本的计算机组成与结构知识。 二、实验装置 本次实验所用的装置主要包括以下硬件设备: 1. 主板:包括主处理器和内存等一系列组件。

2. 显示器:用于显示计算机的输出结果。 3. 键盘和鼠标:用户与计算机进行交互的输入设备。 三、实验步骤 1. 将主板与电源连接,确保供电正常。 2. 将显示器连接至主板的显卡接口,确保显示器正常亮起。 3. 将键盘和鼠标分别插入主板的相应接口,以实现输入功能。 4. 按下电源键启动计算机,观察主板灯光是否亮起,表示主板 运行正常。 5. 在显示器上观察到系统自检信息,并等待操作系统加载完成。 6. 通过鼠标点击或键盘输入进行一系列操作,例如打开软件、 编辑文档等。 7. 在完成操作后,正常关闭计算机,断开与主板的连接。 四、实验结果 通过以上实验步骤,我们成功搭建了一个微机系统,并成功运 行了操作系统。在实验过程中,主板灯光亮起,显示器正常工作,并且可以通过键盘和鼠标进行各类操作。我们能够在显示器上看

到系统自检的信息,并且顺利加载了操作系统。通过鼠标和键盘,我们还成功打开了一些应用程序进行操作。实验结果令人满意。 五、实验心得 通过本次实验,我们进一步深化了对微机原理的理解。通过亲 自搭建微机系统,我们更加直观地感受到了计算机硬件的工作原 理和各个部件之间的联系。实验过程中也让我们意识到了计算机 的脆弱性和重要性,需要我们在使用时进行细心操作并及时维护。通过这次实验,我们不仅增加了实践经验,还加深了对计算机原 理的理论理解。 结论 微机原理实验是计算机科学与技术专业中非常重要的一环,通 过实践操作,我们可以深入理解计算机硬件的基本结构和工作原理。通过本次实验,我们成功搭建了微机系统,并成功运行了操 作系统,进一步巩固了我们对微机原理的理解。该实验不仅提高 了我们的实践能力,还增加了我们与计算机硬件之间的感性认识,对今后的学习和工作都具有非常重要的意义。总体而言,本次实 验收获颇丰,对我们的学习和成长起到了积极促进作用。

微机原理实验报告

微机原理实验报告

微机原理实验报告 班级:自动化72 组员梁慕佳 07054031 张乐 07054033 张林鹏 07054034

实验一:8255 并行接口实验 1 实验目的 1. 学习并掌握8255 的工作方式及其应用; 2. 掌握8255 典型应用电路的接法。 2 实验设备 PC机一台,TD-PITE 实验装置一套。 3 实验内容 1. 基本输入输出实验。编写程序,使8255 的A口为输入,B口为输出,完成拨动开关到数据灯的数据传输。要求只要开关拨动,数据灯的显示就发生相应改变。 2. 流水灯显示实验。编写程序,使8255 的A口和B口均为输出,数据灯D7~D0由左向右,每次仅亮一个灯,循环显示,D15~D8与D7~D0 正相反,由右向左,每次仅点亮一个灯,循环显示。 4 实验原理 并行接口是以数据的字节为单位与I/O 设备或被控制对象之间传递信息。CPU和接口之间的数据传送总是并行的,即可以同时传递8 位、16 位或32 位等。8255可编程外围接口芯片是Intel公司生产的通用并行I/O 接口芯片,它具有A、B、C三个并行接口,用+5V单电源供电,能在以下三种方式下工作:方式0--基本输入/输出方式、方式1--选通输入/输出方式、方式2--双向选通工作方式。8255的内部结构及引脚如图2-6-1 所示,8255工作方式控制字和C口按位置位/复位控制字格式如图2-6-2所示。 图2-6-1 8255内部结构及外部引脚图

图2-6-2 8255控制字格式 5 实验步骤 1. 基本输入输出实验 本实验使8255 端口A工作在方式0 并作为输入口,端口B工作在方式0 并作为输出口。用一组 开关信号接入端口A,端口B 输出线接至一组数据灯上,然后通过对8255 芯片编程来实现输入输出 功能。具体实验步骤如下述: (1)实验接线图如图2-6-3所示,按图连接实验线路图; (2)编写实验程序,经编译、连接无误后装入系统; (3)运行程序,改变拨动开关,同时观察LED 显示,验证程序功能。 图2-6-3 8255基本输入输出实验接线图 程序如下: ;========================================================= ; 文件名: A82551.ASM ; 功能描述: A口为输入,B口为输出,将读入的数据输出显示 ; IOY1

微机原理综合实验报告

微机原理综合实验报告 随着计算机技术的不断发展,微机已经成为了我们日常工作和 生活中不可或缺的一部分。为了更好地了解微机的工作原理和实 践应用,我们进行了微机原理的综合实验,本文将对此次实验进 行详细的讲解和总结。 一、实验目的 本次实验的主要目的是通过搭建计算机系统的全部硬件及连接,熟悉和掌握微机的工作原理和实际应用,实践操作模拟简单的计 算机应用程序等。 二、实验步骤 1.准备工作:搭建计算机实验系统所需的硬件和软件,包括主板、处理器、硬盘、内存、显示器等,同时,将各部件连接起来。 2.开机自检:按下计算机开机键后进行自检,检查各部件是否 正常工作。 3.进入BIOS:进入BIOS设置,为系统配置提供支持。 4.安装操作系统:安装Windows操作系统 5.应用程序:安装并应用简单的计算机应用程序,如表格制作、文档编辑等。

三、实验结果分析 通过本次实验,我们深入了解了微机的硬件构成和软件运行原理,加深了对微机工作原理的认识。在实践操作中,我们对计算 机系统在实际应用中的硬件和软件环境有了深刻的认识和了解。 四、实验中遇到的问题及解决方法 在实验中,我们遇到了一些问题,如计算机开机时无法启动、 操作系统无法正常安装、硬件连接不正确等。这些问题的出现主 要是由于我们操作时没有细心和认真,没有按照实验指导书的步 骤操作。针对这些问题,我们仔细检查操作过程中的每一个步骤,重新进行操作,直至问题得到解决。 五、结论 通过本次实验,我们深刻了解了微机的硬件构成和软件运行原理,了解了微机在实际应用中的硬件和软件环境。同时,我们还 掌握了微机应用的基本技能,如软件安装、简单文件的制作和编 辑等。通过实验的过程,我们深入体验了计算机系统的基本构成 及实践应用,并发现并解决了其中存在的问题和疑问,从而加深 了对计算机系统的理解和认识,为今后我们的进一步学习和实践 打下了坚实的基础。

微机原理实验报告

微机原理实验报告 一、实验目的 本实验的目的是通过实际操作,加深对微机原理的理解,掌握微机系统的基本结构和工作原理,以及熟悉微机实验仪器的使用。 二、实验器材与软件 1. 实验器材: - 单片机开发板 - 电脑 - 示波器 - 逻辑分析仪 - 多用途实验接口板 - 电源 - 连接线等 2. 实验软件: - Keil C51 编译软件 - Proteus 仿真软件 三、实验内容 本实验包括以下几个部分: 1. 单片机基本实验

a) 使用Keil C51编写一个简单的程序,实现LED灯的闪烁。 b) 将编写好的程序下载到单片机开发板,并观察LED灯的闪烁情况。 c) 使用示波器观察LED灯的闪烁信号,并记录波形图。 d) 使用逻辑分析仪对程序进行调试,检测程序执行过程中的信号变化情况。 2. 外部中断实验 a) 连接外部中断引脚和按键开关,编写一个程序,实现按键按下时LED灯亮起,松开时LED灯熄灭。 b) 下载程序到单片机开发板,进行按键测试,并记录测试结果。 c) 使用示波器观察按键信号和LED灯状态的变化,并记录波形图。 3. 定时器实验 a) 编写一个程序,利用定时器产生一个1秒的定时中断,实现LED灯的周期性闪烁。 b) 下载程序到单片机开发板,观察LED灯的闪烁情况,并记录结果。 c) 使用示波器观察定时器产生的中断信号,并记录波形图。 4. 串口通信实验 a) 连接单片机的串口引脚和电脑的串口,编写一个程序,实现单片机与电脑之间的数据传输。 b) 下载程序到单片机开发板,使用串口助手等工具进行数据收发测试,并记录测试结果。 c) 使用逻辑分析仪观察串口通信的信号波形,并记录波形图。 四、实验结果与分析

微机原理实验报告_实验讲义_实验九 时钟实验

实验九时钟实验 一、实验目的 1.熟悉系统功能调用INT 21H的有关功能。 2.编写时钟程序。 二、实验任务 1.执行时钟程序时,屏幕上显示提示符“:”,由键盘输入当前时、分和秒值,即XX: XX:XXJ,随即显示时间并不停地计时C 2.当有键按下时,立即停止计时,返回DOS。 三、实验原理 首先利用系统调用INT21H中02H功能,在CRT上显示一个提示符“:”,要求用户从键盘输入时钟初值(即当前时间),其输入格式为&(时):&(分):以(秒)工。然后利用OAH功能调用接收从键盘输入的字符串,并将接收的字符串存入到缓冲区。 在利用OAH功能调用前要设置一个缓冲区,在调用时,用DX作为输入缓冲区的指针,由键盘输入的字符存入该缓冲区,直至遇到回车键为止。 程序中把输入的‘时‘、‘分'、'秒'初值分别从输入缓冲区中取出,各自放在一个寄存器中,然后调用一个延时1秒钟的子程序,每过1秒使秒值增1,然后检查是否已为60秒,若不是则转显示:若是,则使秒值为0,分值增1,检查是否已为60分,若不是则转显示,若是,则使分值为0,时值增1,接着检查时值是否为24 小时,若不是则转显示,若是,则使时值为0,接着也是转显示。 若使程序运行停止,只要有键按下,即可返回Ix)S。下面列出两种判别是否有键按下的方法(仅供参考)。一种方法是读键扫描码,指令如下: IN AL, 60H ;读键扫描码 TEST AL, 80H JZ AAA ;有键按下,就转AAA AAA: MOV AH,4CH INT 21H 另一种方法是调用INT 21H中06功能,来判别是否有键按下,具体指令如下: MOV AH, 06 MOV DLOFFH :判断是否有键按下,有键按下则转AAA INT 21H JNZ AAA AAA: MOV AH,4CH INT 21H 根据图1—9—1程序流程图,编写时钟源程序。

微机原理数据转换实验报告

微机原理数据转换实验报告 实验名称:微机原理数据转换实验 实验目的: 1. 理解数据转换的原理和基本概念。 2. 掌握数据转换的方法和技巧。 3. 训练实践能力,提高实验操作和数据处理的能力。 实验器材: 1. 电脑主机 2. 麦克风 3. 扬声器 4. 数据转换模块 实验原理: 数据转换是将一种形式的数据转换为另一种形式的过程。在计算机系统中,常见的数据转换包括模数转换(A/D转换)、数模转换(D/A转换)和串并转换等等。本实验主要针对模数转换和数模转换进行实验。 模数转换是将模拟信号转换为数字信号的过程。模数转换器将连续采样的模拟信号转换为离散的数字信号,通常采用脉冲码调制(PCM)的方式进行转换。 数模转换是将数字信号转换为模拟信号的过程。数模转换器将数字信号解码成模拟信号,并通过滤波器和放大器进行处理,输出模拟信号。

实验步骤: 1. 将麦克风连接到数据转换模块的输入端口,通过模数转换将麦克风采集到的模拟声音转换为数字信号。 2. 将数据转换模块的输出端口连接到扬声器,通过数模转换将数字信号转换为模拟声音输出。 3. 调整麦克风的音量和扬声器的音量,观察并记录实验结果。 4. 尝试改变数据转换的参数,如采样率、量化位数等,观察实验结果的变化。 实验结果与分析: 通过实验观察和记录,可以得到不同参数下的数据转换效果。在模数转换过程中,采样率的选择会影响到模拟信号采样的精度和转换后的数字信号的质量。较高的采样率可以更准确地捕捉到模拟信号的细节,但会增加转换过程中的计算负担和存储开销。量化位数的选择决定了数字信号的精度,位数越高,数字信号的表示范围越大,但同样也会增加计算负担和存储开销。 在数模转换过程中,数字信号的解码和模拟信号的输出也受到采样位数和采样率的影响。较高的采样位数可以更准确地还原数字信号的波形,提高输出的音质;较高的采样率可以更准确地重建模拟信号,减少失真。 实验总结: 本实验通过实际操作和观察,对数据转换的原理和方法有了更深入的理解。数据转换在计算机系统中起到了重要的作用,可以将不同形式的数据进行转换和处理,提高计算机系统的功能

微机原理六个实验报告

实验一protuse仿真8086示例 一、实验目的 1.学习并掌握protuse仿真8086实现方法。 二、实验内容 编写汇编程序,计算2010H+2022H,并把和存放AX中。 三、实验步骤 1.protuse软件安装和masm32编译环境安装; 2.硬件电路搭建; 3.设计软件程序; 4.系统调试; 四、实验原理图 实验二编写汇编语言程序并调试运行

一、实验目的 1.掌握汇编语言的选择结构程序的编制。 二、实验内容 设有10个学生的成绩存放在内存中,编制程序分别统计90分以上、80~90分、70~79分、60~69分、低于60分的学生人数,并存放到A、B、C0、D、E、单元中。 三、实验报告及要求 1.写出实验的程序。

2.写下实验心得和实验中所遇问题及解决方法。 (1)了解到了.IF、.ELSE、.ELSEIF、.ENDIF这些伪指令更容易对多分支逻辑进行编码,于是花了一些时间自学了这些指令,从而更好地完成本题的要求。 (2)然后因为自身的能力有限,很难自己把一整个代码写完整,于是我借鉴了网上一些片面代码,然后理了一下思路,最后整理加条件改变,加了自己所有理解过后的注 释,完成了本题。

实验三8086独立按键仿真 一、实验目的 1.学习并掌握8086独立按键硬件电路 2.学习并掌握8086独立按键软件程序 二、实验内容 按键控制led灯的亮灭,完成硬件电路搭建和软件程序 三、实验原理图

四、软件程序 五、运行结果

实验四8086独立按键仿真 一、实验目的 学习并掌握8086液晶屏显示硬件电路和软件的实现方法 二、实验内容 1、实现液晶屏显示英文和数字功能 2、显示拼音姓名和学号 三、实验原理图 四、软件程序

微机原理实验报告

微 机 原 理 实 验 报 告 班级: 指导老师: 学号: 姓名: 实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程; 二、实验内容

将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中低位在前,结果送回DATA1处; 三、程序框图 图 3-1 四、参考程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP DATA ENDS STACK SEGMENT STA DB 20 DUP TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK

MOV SS,AX MOV AX,TOP MOV SP,AX MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL ADDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR SI,30H SUB BYTE PTR DI,30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC

微机原理实验报告

微机原理实验报告 概述: 微机原理是计算机科学与技术专业中的一门重要课程,通过实验学习,可以加深对计算机内部运行原理的理解,提高软硬件的开发和调试能力。本实验报告将介绍我对微机原理实验的学习和思考。 实验一:二进制转换实验 在这个实验中,我首先了解了二进制数的概念以及其和十进制数的转换方法。通过实际操作,我加深了对计算机内部数据表示方式的理解。这对于后续学习计算机系统结构和编程语言至关重要。 实验二:逻辑门电路实验 逻辑门电路是计算机硬件的基础组成部分,通过实验,我学会了使用逻辑门芯片构建各种逻辑电路,并能够通过真值表分析和验证逻辑电路的正确性。这对于理解计算机内部的数据处理和控制逻辑有着直接的帮助。 实验三:运算器设计实验

在这个实验中,我通过学习和设计算术逻辑单元(ALU),了解 了计算机的算术操作过程,并能够通过运算器实现基本算术运算。这对于理解计算机内部数据的处理和计算机指令的执行有着重要 的意义。 实验四:存储器与外设实验 存储器是计算机系统的重要组成部分,通过实验,我深入了解 了存储器的类型、组织结构和访问方式,并通过外设与存储器的 交互,实践了计算机系统的输入和输出过程。 实验五:微处理器实验 微处理器是计算机系统中最核心的部件,通过实验,我学习了 微处理器的基本运行原理,能够通过汇编语言编写程序,并通过 微处理器执行程序实现特定的功能。这个实验为我今后学习计算 机体系结构和操作系统打下了坚实的基础。 实验总结: 通过这几个实验,我深入了解了微机原理课程的实践内容和相 关知识。实验的过程中,我不仅学会了使用仪器设备和工具,还 培养了自己的动手能力和团队合作精神。通过不断的实践,我对

微机原理-串行接口实验报告

实验报告 一.实验任务 采用UART IP 核,实现Nexys4 或Nexys4 DDR 实验板UART接口之间的通信。要求当拨动开关时,将开关对应的值通过UART1发送到UART2,同时利用LED 灯指示UART2接收到的当前开关的值;当按下按键时,将按键对应的值通过UART2发送到UART1,同时利用数码管指示UART1接收到的当前按下的按键位置码(C,U,d,L,r)。UART 波特率为9600bps。 二.硬件电路框图 三.程序源代码 #include "xil_io.h" #include "stdio.h" #include "xintc_l.h" #include "xgpio_l.h" #include "xuartlite_l.h" void UART_SR(); void BtnHandler(); void My_ISR() __attribute__ ((interrupt_handler)); int main() { Xil_Out32(XPAR_AXI_GPIO_2_BASEADDR+XGPIO_TRI_OFFSET,0x1f); //设定BUTTON为输入方式 Xil_Out16(XPAR_GPIO_0_BASEADDR+XGPIO_TRI2_OFFSET,0x0); //LED灯输出

Xil_Out32(XPAR_AXI_GPIO_2_BASEADDR+XGPIO_IER_OFFSET,XGPIO_IR_CH1_MASK); //通道1允许中断 Xil_Out32(XPAR_AXI_GPIO_2_BASEADDR+XGPIO_GIE_OFFSET,XGPIO_GIE_GINTR_ENABLE_ MASK); //允许GPIO中断输出 Xil_Out32(XPAR_AXI_UARTLITE_3_BASEADDR+XUL_CONTROL_REG_OFFSET,XUL_CR_ENABL E_INTR|XUL_CR_FIFO_RX_RESET|XUL_CR_FIFO_TX_RESET);//使能中断,清除RX,TX寄存器Xil_Out32(XPAR_AXI_INTC_0_BASEADDR+XIN_IER_OFFSET,XPAR_AXI_GPIO_2_IP2INTC_IRPT _MASK|XPAR_AXI_UARTLITE_3_INTERRUPT_MASK);//对中断控制器进行中断源使能Xil_Out32(XPAR_AXI_INTC_0_BASEADDR+XIN_MER_OFFSET,XIN_INT_MASTER_ENABLE_MA SK|XIN_INT_HARDWARE_ENABLE_MASK); microblaze_enable_interrupts();//允许处理器处理中断 return 0; } void My_ISR() { int status; status=Xil_In32(XPAR_AXI_INTC_0_BASEADDR+XIN_ISR_OFFSET);//读取ISR xil_printf("0x%x\n",status); if((status&XPAR_AXI_UARTLITE_3_INTERRUPT_MASK)==XPAR_AXI_UARTLITE_3_INTERRUPT _MASK) { UART_SR(); } else if((status&XPAR_AXI_GPIO_2_IP2INTC_IRPT_MASK)==XPAR_AXI_GPIO_2_IP2INTC_IRPT_MASK) BtnHandler(); //调用按键中断 Xil_Out32(XPAR_AXI_INTC_0_BASEADDR+XIN_IAR_OFFSET,status);//写IAR } void UART_SR() { Xil_Out16(XPAR_GPIO_0_BASEADDR+XGPIO_DATA2_OFFSET,Xil_In32(XPAR_AXI_UARTLITE_3 _BASEADDR+XUL_RX_FIFO_OFFSET)); } void BtnHandler() { unsigned short btncode; btncode=Xil_In8(XPAR_AXI_GPIO_2_BASEADDR+XGPIO_DATA_OFFSET)&0x1f; while((Xil_In32(XPAR_AXI_GPIO_2_BASEADDR+XGPIO_DATA_OFFSET)&0x1f)!=0) { xil_printf("The pushed button's code is 0x%x\n",btncode);//打印输入的按键序号} switch(btncode)

微机原理分支结构实验报告

微机原理实验报告 实验二分支程序的设计 一、实验目的 1.学习提示信息的显示及键盘输入字符的方法。 2.掌握分支程序的设计方法。 二、实验内容 在提示信息下,从键盘上输入原码表示的二位十六进制有符号数。 当此数大于0时,屏幕上显示此数为正数。 当此数等于0时,屏幕上显示此数为零。 当此数小于0时,屏幕上显示此数为负数。 三、实验原理 分支程序是程序通过判断和比较形成不同的逻辑框并产生相应的分支程序。 它的结构有两种:一种是二分支,一中是多分支。 它们的共同特点是:运行方向总是向前的,在某种确定条件下,只能执行多个分支中的一个分支。 四、程序流程 CRLF MACRO MOV AH,02H MOV DL,0DH INT 21H MOV AH,02H MOV DL,0AH INT 21H ENDM DATA SEGMENT MESS1 DB'INPUT DATA',0DH,0AH,'$' MESS2 DB'THIS DATA IS +',0DH,0AH,'$' MESS3 DB'THIS DATA IS -',0DH,0AH,'$' MESS4 DB'THIS DATA IS 0',0DH,0AH,'$' DATABUF DB 3 ACTLEN DB ? STRING DB 3 DUP(?) DATA ENDS SSEG SEGMENT PARA STACK'STACK'

DB 50 DUP(0) SSEG ENDS CODE SEGMENT ASSUME CS:CODE,SS:SSEG,DS:DATA START: MOV AX,DATA MOV DS,AX MOV AX,SSEG MOV SS,AX MOV DX,OFFSET MESS1 MOV AH,09H INT 21H MOV AH,0AH MOV DX,OFFSET DATABUF INT 21H CRLF MOV AL,STRING CMP AL,38H JAE CIRA MOV AL,STRING+1 CMP AL,30H JNE CIRB MOV DX,OFFSET MESS4 MOV AH,09H INT 21H JMP BOT CIRA: MOV DX,OFFSET MESS3 MOV AH,09H INT 21H JMP BOT CIRB: MOV DX,OFFSET MESS2 MOV AH,09H INT 21H JMP BOT BOT: MOV AX,4C00H INT 21H CODE ENDS END START 五、程序调试 查看结果:

交通灯微机原理实验报告

交通灯微机原理实验报告 交通灯微机原理实验报告 一、引言 交通灯是城市交通管理中不可或缺的一部分。它们通过指示灯的变化来引导车辆和行人的通行,确保交通的有序进行。在这个实验报告中,我们将探讨交通灯背后的微机原理,并介绍我们的实验过程和结果。 二、实验目的 本次实验的目的是通过使用微机原理,设计和实现一个交通灯控制系统。我们将使用微机芯片和相应的电路,以及适当的编程来模拟交通灯的工作原理。通过这个实验,我们可以更好地理解交通灯的工作原理,并学习如何应用微机技术来实现交通管理。 三、实验设备和材料 本次实验使用的设备和材料包括: 1. 微机芯片:我们选择了一款功能强大的微机芯片,具有高性能和稳定性。 2. 电路板:用于连接微机芯片和其他电子元件。 3. LED灯:用于模拟交通灯的红、黄、绿灯。 4. 电阻、电容和其他电子元件:用于构建电路和实现功能。 四、实验步骤 1. 设计电路:我们首先根据交通灯的工作原理,设计了相应的电路。电路包括微机芯片、LED灯、电阻和电容等元件。我们根据电路图,将这些元件连接在一起,确保电路的正常工作。 2. 编程:接下来,我们使用C语言编写程序,实现交通灯的控制逻辑。我们将

编程代码烧录到微机芯片中,并通过连接电路板和计算机,将程序加载到芯片中。 3. 调试和测试:完成编程后,我们进行了一系列的调试和测试。我们通过观察LED灯的亮灭情况,来验证程序的正确性。如果灯光按照预期的顺序变化,我们就可以确认程序的正确性。 4. 优化和改进:在测试过程中,我们发现了一些问题和改进的空间。我们根据实际情况,对程序进行了优化和改进,以提高交通灯系统的性能和稳定性。五、实验结果 经过一系列的实验和测试,我们成功地实现了一个交通灯控制系统。我们的交通灯系统能够按照预定的时间间隔和顺序,控制红、黄、绿灯的变化。通过观察LED灯的亮灭情况,我们可以清晰地看到交通灯的状态变化,模拟真实的交通场景。 六、实验总结 通过这个实验,我们深入了解了交通灯背后的微机原理。我们通过设计电路和编写程序,成功地实现了一个交通灯控制系统。这个实验不仅加深了我们对微机技术的理解,还让我们更好地理解了交通灯的工作原理和重要性。 在今后的学习和工作中,我们将更加注重交通灯的设计和管理,以提高交通的安全性和效率。我们还将继续学习和探索微机技术的应用,为城市交通管理做出更大的贡献。 七、参考文献 [1] 微机原理与接口技术,XXX,XXX出版社,20XX年。 [2] 交通信号灯控制系统设计与实现,XXX,XXX杂志,20XX年。

微机原理上机实验(七+十四)实验报告 8253方波实验 电子钟设计实验

微机原理上机实验报告实验七:8253方波实验 实验十四:电子钟

微机原理上机实验(七)实验报告 实验七:8253方波实验 一、实验目的 了解8253的内部结构、工作原理;了解8253与8086的接口逻辑;熟悉8253的控制寄存器和初始化编程方法,熟悉8253的6种工作模式。 二、实验内容 1、编写程序:使用8253的计数器0和计数器1实现对输入时钟频率的两级分频,得 到一个周期为1秒的方波,用此方波控制蜂鸣器,发出报警信号,也可以将输入脚接到逻辑笔上来检验程序是否正确。 2、连接线路,验证8253的功能,熟悉它的使用方法。 三、实验原理图

四、实验步骤 1、连线说明: C4区:CS、A0、A1 ——A3区:CS2、A0、A1 C4区:CLK0 ——B2区:2M C4区:OUT0 ——C4区:CLK1 C4区:OUT1 ——F8区:Ctrl(蜂鸣器) 2、测试实验结果:蜂鸣器发出时有时无的声音;用逻辑笔测试蜂鸣器的输入端口,红 绿灯交替点亮。 实验代码 COM_ADD EQU 0263H R T0_ADDR EQU 0260H T1_ADDR EQU 0261H _STACK SEGMENT STACK DW 100 DUP(?)

_STACK ENDS CODE SEGMENT START PROC NEAR ASSUME CS:CODE, SS:_STACK MOV DX,COM_ADDR MOV AL,35H OUT DX,AL ;计数器T0设置在模式2状态,BCD码计数 MOV DX,T0_ADDR MOV AL,00H OUT DX,AL MOV AL,10H OUT DX,AL ;CLK0/1000 MOV DX,COM_ADDR MOV AL,77H OUT DX,AL ;计数器T1为模式3状态,输出方波,BCD码 计数 MOV DX,T1_ADDR MOV AL,00H OUT DX,AL MOV AL,10H OUT DX,AL ;CLK1/1000

相关文档