文档库 最新最全的文档下载
当前位置:文档库 › 逻辑电平标准说明

逻辑电平标准说明

逻辑电平标准说明
逻辑电平标准说明

在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。

5V TTL和5V CMOS逻辑电平是通用的逻辑电平。·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。·低电压的逻辑电平还有2.5V 和1.8V两种。·ECL/PECL和LVDS是差分输入输出。·RS-422/485和RS-232是串口的接口标准,

RS-422/485是差分输入

常用电平标准

现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用

注意事项。

TTL:Transistor-Transistor Logic 三极管结

构。

Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;

VIL<=0.8V。

因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就

是后面的LVTTL。

LVTTL又分3.3V、2.5V以及更低电压的

LVTTL(Low Voltage TTL)。

3.3V LVTTL:

Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;

VIL<=0.8V。

2.5V LVTTL:

Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;

VIH>=1.7V;VIL<=0.7V。

更低的LVTTL不常用。多用在处理器等高速

芯片,使用时查看芯片手册就OK了。

TTL使用注意:TTL电平一般过冲都会比较严重,

可能在始端串22欧或33欧电阻;

TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS

输入。

CMOS:Complementary Metal Oxide

Semiconductor PMOS+NMOS。

Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;

VIL<=1.5V。

相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。

3.3V LVCMOS:

Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;

VIH>=2.0V;VIL<=0.7V。

2.5V LVCMOS:

Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;

VIL<=0.7V。

CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,

导致芯片的烧毁。

ECL:Emitter Coupled Logic 发射极耦合逻辑电

路(差分结构)

Vcc=0V;Vee:-5.2V;VOH=-0.88V;

VOL=-1.72V;VIH=-1.24V;VIL=-1.36V。

速度快,驱动能力强,噪声小,很容易达到几百M的应用。但是功耗大,需要负电源。为简化电源,出现了PECL(ECL结构,改用正电压供电)和

LVPECL。

PECL:Pseudo/Positive ECL

Vcc=5V;VOH=4.12V;VOL=3.28V;VIH=3.78V;

VIL=3.64V

LVPECL:Low Voltage PECL

Vcc=3.3V;VOH=2.42V;VOL=1.58V;

VIH=2.06V;VIL=1.94V

ECL、PECL、LVPECL使用注意:不同电平不能直接驱动。中间可用交流耦合、电阻网络或专用芯片进行转换。以上三种均为射随输出结构,必须有电阻拉到一个直流偏置电压。(如多用于时钟的LVPECL:直流匹配时用130欧上拉,同时用82欧下拉;交流匹配时用82欧上拉,同时用130欧下拉。但两种方式工作后直流电平都在1.95V左右。)

前面的电平标准摆幅都比较大,为降低电磁辐射,

同时提高开关速度又推出LVDS电平标准。

LVDS:Low Voltage Differential Signaling

差分对输入输出,内部有一个恒流源3.5-4mA,在差分线上改变方向来表示0和1。通过外部的100欧匹配电阻(并在差分线上靠近接收端)转换为±350mV的

差分电平。

LVDS使用注意:可以达到600M以上,PCB要求较

高,差分线要求严格等长,差最好不超过

10mil(0.25mm)。100欧电阻离接收端距离不能超过500mil,最好控制在300mil以内。

其他的一些:

CML:是内部做好匹配的一种电路,不需再进行匹配。三极管结构,也是差分线,速度能达到3G以

上。只能点对点传输。

GTL:类似CMOS的一种结构,输入为比较器结构,比较器一端接参考电平,另一端接输入信号。

1.2V电源供电。

Vcc=1.2V;VOH>=1.1V;VOL<=0.4V;

VIH>=0.85V;VIL<=0.75V

PGTL/GTL+:

Vcc=1.5V;VOH>=1.4V;VOL<=0.46V;

VIH>=1.2V;VIL<=0.8V

HSTL是主要用于QDR存储器的一种电平标

准:一般有V¬CCIO=1.8V和

V¬¬CCIO=1.5V。和上面的GTL相似,输入为输入为比较器结构,比较器一端接参考电平(VCCIO/2),另一端接输入信号。对参考电平要求比较

高(1%精度)。

SSTL主要用于DDR存储器。和HSTL基本相同。V¬¬CCIO=2.5V,输入为输入为比较器结构,比较器一端接参考电平1.25V,另一端接输入信号。对参考电平要求比较高(1%精度)。

HSTL和SSTL大多用在300M以下。

RS232采用±12-15V供电,我们电脑后面的串口即为RS232标准。+12V表示0,-12V表示1。可以用MAX3232等专用芯片转换,也可以用两个三极管加一些外围电路进行反相和电压匹配。

RS485是一种差分结构,相对RS232有更高的抗干扰能力。传输距离可以达到上千米。

差分信号LVDS

1 差分信号

差分信号用一个数值来表示两个物理量之间的差异。从严格意义上讲,所有电压信号都是差分的,因为一个电压只能相对于另一个电压而言。在某些系统里,系统‘地’被用作电压基准点。当‘地’作为电压测量基准时,这种信号规划被称为单端的。使用该术语是因信号采用单个导体上的电压来表示的;另一方面,一个差分信号作用在两个导体上。信号值是两个导体间的电压差。尽管不是非常必要,这两个电压的平均值还是会经常保持一致。

差分信号具有如下优点:

(1)因为可以控制“基准”电压,所以很容易识别小信号。从差分信号恢复的信号值在很大程度上与‘地’的精确值无关,而在某一范围内。

(2)它对外部电磁干扰(EMI)是高度免疫的。一个干扰源几乎相同程度地影响差分信号对的每一端。既然电压差异决定信号值,这样将忽视在两个导体上出

现的任何同样干扰。

(3)在一个单电源系统,能够从容精确地处理‘双极’信号。为了处理单端、单电源系统的双极信号,必须在地与电源干线之间任意电压处(通常是中点)建立一个虚地。用高于虚地的电压表示正极信号,低于虚地的电压表示负极信号。必须把虚地正确分布到整个系统里。而对于差分信号,不需要这样一个虚地,这就使处理和传播双极信号有一个高逼真度,而无须

依赖虚地的稳定性。

LVDS、PECL、RS-422等标准都采取差分传输方式。

2 LVDS总线

LVDS(Low Voltage Differential Signaling)是一种小振幅差分信号技术。LVDS在两个标准中定义:1996年3月通过的IEEE P1596.3主要面向SCI(Scalable Coherent Interface),定义了LVDS的电特性,还定义了SCI协议中包交换时的编码;1995年11月通过的ANSI/EIA/EIA-644主要定义了LVDS的电特性,并建议655Mbps的最大速率和1.923Gbps的小失真理论极限速率。在两个标准中都指定了与传输介质无关的特性。只要传输介质在指定的噪声容限和可允许时钟偏斜的范围内发送信号到接收器,接口都能正常工作。可用于服务器、可堆垒集线器、无线基站、ATM交换机及高分辨率显示等,也可用于通信系统的设计。

2.1 LVDS工作原理

图1为LVDS的原理简图,其驱动器由一个恒流源(通常为3.5mA)驱动一对差分信号线组成。在接收端有一个高的直流输入阻抗(几乎不会消耗电流),几乎全部的驱动电流将流经100Ω的接收端电阻在接收器输入端产生约350mV的电压。当驱动状态反转时,流经电阻的电流方向改变,于是在接收端产生有效的“0”

或“1”逻辑状态。

2.2 LVDS技术优势

(1)高速度:LVDS技术的恒流源模式低摆幅输出意味着LVDS能高速切换数据。例如,对于点到点的连接,传输速率可达数百Mbps。

(2)高抗噪性能:噪声以共模方式在一对差分线上耦合出现,并在接收器中相减从而可消除噪声。这也是

差分传输技术的共同特点。

(3)低电压摆幅:使用非常低的幅度信号(约350mV)通过一对差分PCB走线或平衡电缆传输数据。LVDS 的电压摆幅是PECL的一半,是RS-422的1/10;由于是低摆幅差分信号技术,其驱动和接收不依赖于供电电压,因此,LVDS可应用于低电压系统中,如5V、

3.3V甚至2.5V。

(4)低功耗:接收器端的100Ω阻抗功率仅仅为1.2mV。RS-422接收器端的100Ω阻抗功率为90mV,是LVDS的75倍!LVDS器件采用CMOS工艺制造,CMOS工艺的静态功耗极小。LVDS驱动器和接收器所需的静态电流大约是PECL/ECL器件的1/10。LVDS 驱动器采用恒流源驱动模式,这种设计可以减少1cc 中的频率成分。从1cc与频率关系曲线图上可以看到在10MHz~100MHz之间,曲线比较平坦;而TTL/CMOS以及GTL接收器件的动态电流则随着频率

地增加呈指数增长,因为功率是电流的二次函数,所以动态功耗将随着频率的提高而大幅度提高(见图2)。

(5)低成本:LVDS芯片是标准CMOS工艺实现技术,集成度高;接收端阻抗小,连线简单,节省了电阻电容等外围元件;低能耗;LVDS总线串行传输数据,LVDS 芯片内部集成了串化器或解串器,与并行数据互联相比,节省了约50%的电缆、接口及PCB制作成本。此外,由于连接关系大大简化,也节省了空间。

(6)低噪声:由于两条信号线周围的电磁场相互抵消,故比单线信号传输电磁辐射小得多。恒流源驱动模式不易产生振铃和切换尖锋信号,进一步降低了噪声。

1 几种常用高速逻辑电平

1.1LVDS电平

LVDS(Low Voltage Differential Signal)即低电压差分信号,LVDS接口又称RS644芟呓涌冢?0世纪90年代才出现的一种数据传输和接口技

术。

LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的

逻辑“1”和逻辑“0”状态。

LVDS技术在两个标准中被定义:ANSI/TIA/EIA644 (1995年11月通过)和IEEE P1596.3 (1996年3月通过)。这两个标准中都着重定义了LVDS的电特性,包括:

①低摆幅(约为350 mV)。低电流驱动模式意味着可实现高速传输。ANSI/TIA/EIA644建议了655 Mb/s的最大速率和1.923 Gb/s的无失真

通道上的理论极限速率。

②低压摆幅。恒流源电流驱动,把输出电流限制到约为3.5 mA左右,使跳变期间的尖峰干扰最小,因而产生的功耗非常小。这允许集成电路密度的进一步提高,即提高了PCB板的效能,减少了成本。

③具有相对较慢的边缘速率(dV/dt约为

0.300 V/0.3 ns,即为1 V/ns),同时采用差分传输形式,使其信号噪声和EMI都大为减少,同时也具有较

强的抗干扰能力。

所以,LVDS具有高速、超低功耗、低噪声和低

成本的优良特性。

LVDS的应用模式可以有四种形式:

①单向点对点(point to point),这是典

型的应用模式。

②双向点对点(point to point),能通过一对双绞线实现双向的半双工通信。可以由标准的LVDS的驱动器和接收器构成;但更好的办法是采用总线LVDS驱动器,即BLVDS,这是为总线两端都接

负载而设计的。

③多分支形式(multidrop),即一个驱动器连接多个接收器。当有相同的数据要传给多个负载时,可以采用这种应用形式。④多点结构(multipoint)。此时多点总线支持多个驱动器,也可以采用BLVDS 驱动器。它可以提供双向的半双工通信,但是在任一时刻,只能有一个驱动器工作。因而发送的优先权和总线的仲裁协议都需要依据不同的应用场合,选用不

同的软件协议和硬件方案。

为了支持LVDS的多点应用,即多分支结构投嗟憬峁梗?001年新推出的多点低压差分信号(MLVDS)国际标准ANSI/TIA/EIA 8992001,规定了用于多分支结构和多点结构的MLVDS器件的标准,目前已

有一些MLVDS器件面世。

LVDS技术的应用领域也日渐普遍。在高速系统内部、系统背板互连和电缆传输应用中,驱动器、接收器、收发器、并串转换器/串并转换器以及其他LVDS 器件的应用正日益广泛。接口芯片供应商正推进LVDS 作为下一代基础设施的基本构造模块,以支持手机基站、中心局交换设备以及网络主机和计算机、工作站

之间的互连。

1.2ECL电平

ECL(EmitterCoupled Logic)即射极耦合逻辑,是带有射随输出结构的典型输入输出接口电路,如图2

所示。

ECL电路的最大特点是其基本门电路工作在非饱和状

态,因此ECL又称为非饱和性逻辑。也正因为如此,ECL电路的最大优点是具有相当高的速度。这种电路的平均延迟时间可达几个ns数量级甚至更少。传统的ECL以VCC为零电压,VEE为-5.2 V电源,

VOH=VCC-0.9 V=-0.9 V,VOL=VCC-1.7

V=-1.7 V,所以ECL电路的逻辑摆幅较小(仅约0.8 V)。当电路从一种状态过渡到另一种状态时,对寄生电容的充放电时间将减少,这也是ECL电路具有高开关速度的重要原因。另外,ECL电路是由一个差分对管和一对射随器组成的,所以输入阻抗大,输出阻抗小,驱动能力强,信号检测能力高,差分输出,抗共模干扰能力强;但是由于单元门的开关管对是轮流导通的,对整个电路来讲没有“截止”状态,所以电路的

功耗较大。

如果省掉ECL电路中的负电源,采用正电源的系统(+5 V),可将VCC接到正电源而VEE接到零点。这样的电平通常被称为PECL(Positive Emitter Coupled Logic)。如果采用+3.3 V供电,则称为LVPECL。当然,此时高低电平的定义也是不同的。它的电路如图3、4所示。其中,输出射随器工作在正电源范围内,其电流始终存在。这样有利于提高开关速度,而且标准的输出负载是接50Ω至VCC-2 V的电

平上。

在使用PECL 电路时要注意加电源去耦电路,以免受噪声的干扰。输出采用交流耦合还是直流耦合,对负载网络的形式将会提出不同的需求。直流耦合的接口电路有两种工作模式:其一,对应于近距离传送的情况,采用发送端加到地偏置电阻,接收端加端接电阻模式;其二,对应于较远距离传送的情况,采用接收端通过电阻对提供截止电平VTT 和50 Ω的匹配负载的模式。以上都有标准的工作模式可供参考,不必赘述。对于交流耦合的接口电路,也有一种标准工作模式,即发送端加到地偏置电阻,耦合电容靠近发送端放置,接收端通过电阻对提供共模电平VBB 和

50 Ω的匹配负载的模式。

(P)ECL是高速领域内一种十分重要的逻辑电路,

它的优良特性使它广泛应用于高速计算机、高速计数器、数字通信系统、雷达、测量仪器和频率合成器等

方面。

1.3CML电平

CML电平是所有高速数据接口中最简单的一种。其输入和输出是匹配好的,减少了外围器件,适合于更高频段工作。它的输出结构如图5所示。

CML 接口典型的输出电路是一个差分对形式。该差分对的集电极电阻为50 Ω,输出信号的高低电平切换是靠共发射极差分对的开关控制的。差分对的发射极到地的恒流源典型值为16 mA。假定CML的输出负载为一个50 Ω上拉电阻,则单端CML输出信号的摆幅为VCC~VCC-0.4 V。在这种情况下,差分输出信号摆幅为800 mV。信号摆幅较小,所以功耗很低,CML接口电平功耗低于ECL的1/2,而且它的差分信号接口和ECL、LVDS电平具有类似的特点。

CML到CML之间的连接分两种情况:当收发两端的器件使用相同的电源时,CML到CML可以采用直流耦合方式,不用加任何器件;当收发两端器件采用不同电源时,一般要考虑交流耦合,中间加耦合电容(注意这时选用的耦合电容要足够大,以避免在较长连0 或连1 情况出现时,接收端差分电压变小)。

但它也有些不足,即由于自身驱动能力有限,CML更适于芯片间较短距离的连接,而且CML接口实现方式不同用户间差异较大,所以现有器件提供CML接口的

数目还不是非常多。

2 各种逻辑电平之间的比较和互连转化

2.1各种逻辑电平之间的比较

这几种高速逻辑电平在目前都有应用,但它们在总线结构、功率消耗、传输速率、耦合方式等方面都各有特点。为了便于应用比较,现归纳以上三类电平各方面的特点,如表1所列。

2.2各种逻辑电平之间的互连

这三类电平在互连时,首先要考虑的就是它们的电平大小和电平摆幅各不一样,必须使输出电平经过中间的电阻转换网络后落在输入电平的有效范围内。

各种电平的摆幅比较如图6所示。

其次,电阻网络要考虑到匹配问题。例如我们知道,当负载是50 Ω接到VCC-2 V 时,LVPECL 的输出性能是最优的,因此考虑的电阻网络应该与最优负载等效;LVDS 的输入差分阻抗为100 Ω,或者每个单端到虚拟地为50 Ω,该阻抗不提供直流通路,这里意味着LVDS输入交流阻抗与直流阻抗不等,电阻值的选取还必须根据直流或交流耦合的不同情况作不同的选取。另外,电阻网络还必须与传输线匹配。

另一个问题是电阻网络需要在功耗和速度方面折中考虑:既允许电路在较高的速度下工作,又尽量不

出现功耗过大。

下面以图7所示的LVPECL到LVDS的直流耦合连接为例,来说明以上所讨论的原则。

传输线阻抗匹配原则:

Z≈R1//(R2+R3)

根据LVPCEL输出最优性能:

降低LVPECL摆幅以适应LVDS的输入范围:

Gain=R3/(R2+R3)

根据实际情况,选择满足以上约束条件的电阻值,

例如当传输线特征阻抗为50 Ω时,可取R1=120 Ω,

R2=58 Ω,R3=20 Ω即能完成互连。

由于LVDS 通常用作并联数据的传输,数据速率

为155 Mbps、622 Mbps或1.25 Gbps;而CML 常

用来做串行数据的传输,数据速率为2.5 Gbps或10

Gbps。一般情况下,在传输系统中没有CML和LVDS

的互连问题。

结语

本文粗浅地讨论了几种目前应用较多的高速电平

技术。复杂高速的通信系统背板,大屏幕平板显示系

统,海量数据的实时传输等等都需要采用新高速电平

技术。随着社会的发展,新高速电平技术必将得到越

来越广泛的应用。

收藏分享

回复引用

报告使用道具

tony

2#

发表于 2007-9-17

16:23 | 只看该作者要了解逻辑电平的内容,

管理员

首先要知道以下几个概念

的含义:

1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih 时,则认为输入电平为高

电平。

2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil 时,则认为输入电平为低

电平。

3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于

此Voh。

4:输出低电平(Vol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于

此Vol。

5:阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输出,则必须要求输入高电平> Vih,

输入低电平

状态。

对于一般的逻辑电平,以上参数的关系如下:Voh > Vih > Vt > Vil >

Vol。

6:Ioh:逻辑门输出为高电平时的负载电流(为拉

电流)。

7:Iol:逻辑门输出为低电平时的负载电流(为灌

电流)。

8:Iih:逻辑门输入为高电平时的电流(为灌电

流)。

9:Iil:逻辑门输入为低电平时的电流(为拉电

流)。

门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门称为开路门。开路的TTL、CMOS、ECL门分别称为集电极开路(OC)、漏极开路(OD)、发射极开路(OE),使用时应审查是否接上拉电阻(OC、OD 门)或下拉电阻(OE门),以及电阻阻值是否合适。对于集电极开路(OC)门,其上拉电阻阻值RL应满足

下面条件:(1): RL < (VCC-Voh)

/(n*Ioh +m*Iih )

(2):RL > (VCC -Vol )

/(Iol +m*Iil )

其中n :线与的开路门数;

m :被驱动的输入端数。

回复 引用 报告 使用道具 TOP

tony

管理员

3#

发表于 2007-9-17 16:26 | 只看该作者

数字逻辑标准及接口技术

我们知道,0和1是数字世界的两个基本元素,在数字电路中它们由特定范围的高低电平来表示。数字电路发展的早期,绝大多数数字器件都采用TTL 和CMOS 数字逻辑标准。近几年,产生了许多针对不同应用的低压、高速的数字逻辑标

准,例如LVTTL 、LVCMOS 、LVDS 、HSTL 、SSTL 、LVPECL 等。 实际应用中共有二三十种数字逻辑信号标准,根据其物理连接特性不同,可以

划分为单端逻辑信号、单端差分逻辑信号和差分逻辑信号三大类。在对它们详

细介绍之前,我们首先了解一下数字逻辑信号的几个重要专业术语。

a. 门限电压(VTH )

顾名思义,VTH 为逻辑状态高或低转换的门限电压,在逻辑器件中,当信号电压高于VTH 为逻辑高,反之则为逻辑低,通常VTH 为电源电压的1/2。 b. 输出高电平(VOH )和输出低电平(VOL ) 确切地说VOH 应该为逻辑器件输出高电平的下限,VOL 为输出低电平的上限。通常在VOH 和VOL 之间有一个电压缓冲区,这样在实际电路中输出逻

辑信号迭加噪声后,就不会导致对逻辑状态的错误判断。

c. 输入高电平(VIH )和输入低电平(VIL )

VIH 为输入高电平的下限,VIL 为输入低电平的上限。

在许多数字系统中,前一个逻辑器件的输出就是后一个逻辑器件的输入,所以必须满足VOH>VIH 、VOL< VIL ,否则就会出现逻辑状态判断错误。另外,它们之间的差值称为噪声容限,外部叠加的噪声应小于噪声容限,否则也会出现逻

辑状态判断错误。

单端数字逻辑信号

单端信号是两个逻辑器件互连最基本的方法,它只需要一条连线来实现逻辑

信号传输。另外,它也可以实现单端发送、差分接收的连接方式,这时差分接收器的另外一个输入端提供参考接地电平,即图4中的VREF接地。单端信号连接的数字逻辑标准主要有TTL、CMOS、LVTTL、LVCMOS、PCI等,它们的主要

性能参数如表1所示。

(1) 注意:信号的传输带宽是一个粗略的估算值,因为器件工艺、连线长度、

PCB走线方式和应用环境都会对实际传输带宽造成影响。

单端差分逻辑信号

单端差分信号指的是信号单端发送、差分接收的一种信号传输方式。差分接收器的两个输入端,一个接收信号,另一个提供参考电平VREF。VREF是用来设置接收器的门限电压,其大小通常为单端驱动器输出电压VDDO的1/2。相对单端信号,单端差分信号是通过降低传输信号的电平幅度,来加快晶体管的转换

速度,从而提高传输带宽。

图6为HSTL-I单端差分信号的实际参数和信号波形图,其中单端驱动器输出电压VDDO=1.5V;门限电压VTH = VREF=1/2 VDDO=0.75V;VIL= VREF - 0.1V 、

VIH= VREF + 0.1V。

除了HSTL-I单端差分逻辑标准外,另外一个常见的标准是美国Cypress的

1.8V HSTL,也称eHSTL,其性能参数如表2所示。

差分逻辑信号

如图7所示,差分信号是通过一对单端信号线进行传输,两条线上的信号相

同,但相位相差为180°。

从信号传输原理看,差分信号的电平幅度比单端差分信号更低;此外,如图8,差分信号接收端VDIFF=VOH-VOL,这样可以抵消实际传输过程中迭加在两个单端信号上的共模噪声,更好地保持了信号的完整性,降低了信号整体噪声,从

而实现更高的带宽。

常见的差分逻辑信号标准有LVDS、SSTL、ECL、PECL等。

应用

可以说,任何一种新的数字逻辑信号标准的产生,都是实际应用需要驱动的结果,每一种标准都有各自的特点及应用环境。

a. TTL、CMOS系列是应用最广泛的数字逻辑标准,被数字逻辑器件厂商普

遍采用;

b. 时钟驱动器件、SRAM、DDR SRAM 等存储器件基本都采用HSTL标准;

d. SSTL系列是由IBM、Hitachi等公司发起的,主要用于PC内存模快上;

e. PECL系列是Motorola 公司发明的,广泛应用于精度较高的时钟器件;

f. LVDS广泛应用于中距离传输的一些高速串行或平行接口器件。

接口技术

在数字系统的设计和调试中,会经常遇到不同数字逻辑标准的接口问题。只

要深入理解各种逻辑标准的接口电平特性;遵循VOH>VIH 、VOL< VIL,实际噪声小于容限噪声等原则;同时注意一些影响信号完整性的参数,例如驱动电流、匹配阻抗、转换速率等,许多问题都能迎刃而解。

另外,也可以选用专用逻辑电平转换器件、接口转换器件、可编程逻辑器件来解决数字逻辑标准的接口问题,提高传输的稳定性。例如,IDT、TI公司都推出了多款逻辑电平转换和接口转换器件;Xilinx公司的CoolRunnerII系列CPLD可以支持LVTTL、LVCOMS、SSTL2-I、HSTL-I等多种接口标准,Spartan-3

系列FPGA支持的标准多达23种。

TTL:Transistor-Transistor Logic 三极管结构。

Vcc:5V

输出 VOL: <0.8V ; VOH:>2.4V。

输入 VIL: <1.2V ; VIH: >2.0V

TTL器件输出低电平要小于0.8V,高电平要大于2.4V。输入,低于1.2V就认

为是0,高于2.0就认为是1。

因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面

的LVTTL。

LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。

3.3V LVTTL:

Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。

2.5V LVTTL:

Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。

TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻;TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻

下拉。TTL输出不能驱动CMOS输入。

CMOS电平:Complementary Metal Oxide Semiconductor PMOS+NMOS。

Vcc:5V

输出 VOL: <0.1*Vcc ; VOH:>0.9*Vcc。

输入 VIL: <0.3*Vcc ; VIH: >0.7*Vcc.

CMOS电平Vcc可达到12V

CMOS电路输出高电平约为0.9Vcc,而输出低电平约为 0.1Vcc。

相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。

3.3V LVCMOS:

Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。

2.5V LVCMOS:

Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。

CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC 一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,导致

芯片的烧毁。

CMOS电路不使用的输入端不能悬空,会造成逻辑混乱,不用的输入端必须连到高电平或低电平, 这是因为 CMOS 是高输入阻抗器件, 理想状态是没有输入电流的. 如果不用的输入引脚悬空, 很容易感应到干扰信号, 影响芯片的逻辑运行, 甚至静电积累永久性的击穿这个输入端, 造成芯片失效.

另外,CMOS集成电路电源电压Vcc可以在较大范围内变化,因而对电源的要求

不像TTL集成电路那样严格。

用TTL电平他们就可以兼容。

TTL和CMOS区别:

1.电平的上限和下限定义不一样,CMOS具有更大的抗噪区域。同是5伏供电的话,ttl一般是1.7V和3.5V的样子,CMOS一般是

2.2V,2.9V的样子,不准确,

仅供参考。

2。电流驱动能力不一样,ttl一般提供25毫安的驱动能力,而CMOS一般在10

毫安左右。

3。需要的电流输入大小也不一样,一般ttl需要2.5毫安左右,CMOS 几乎不

需要电流输入。

4。TTL电路是电流控制器件,而coms电路是电压控制器件。

5。TTL电路的速度快,传输延迟时间短(5-10ns),但是功耗大。COMS电路的速度慢,传输延迟时间长(25-50ns),但功耗低。COMS电路本身的功耗与输入信号的脉冲频率有关,频率越高,芯片集越热,这是正常现象。

COMS电路的锁定效应:

COMS电路由于输入太大的电流,内部的电流急剧增大,除非切断电源,电流一直在增大。这种效应就是锁定效应。当产生锁定效应时,COMS的内部电流能达

到40mA以上,很容易烧毁芯片。

防御措施: 1)在输入端和输出端加钳位电路,使输入和输出不超过不超过规

定电压。

2)芯片的电源输入端加去耦电路,防止VDD端出现瞬间的高压。

3)在VDD和外电源之间加线流电阻,即使有大的电流也不让它进去。

4)当系统由几个电源分别供电时,开关要按下列顺序:开启时,先开启COMS 电路得电源,再开启输入信号和负载的电源;关闭时,先关闭输入信号和负载

的电源,再关闭COMS电路的电源。

COMS电路的使用注意事项

常用电平及接口电平

常用电平及接口电平

目录 一.常用逻辑电平标准 (3) 1.1 COMS电平 (4) 1.2 LVCOMS电平 (5) 2.1 TTL电平 (5) 2.2 LVTTL电平 (5) 3.1 LVDS电平 (6) 4.1 PECL(VCC=5V)/LVPECL(VCC=3.3V)电平 (7) 5.1 CML电平 (7) 6.1 VML电平 (7) 7.1 HSTL电平 (8) 7.2 SSTL电平 (8) 二.常用接口电平标准 (9) 1. RS232、RS485、 RS422 (9) 2 DDR1 ,DDR2,DDR3 (10) 3 PCIE2. 0、PCIE3.0 (11) 4 USB2.0, USB3.0 (13) 5 SATA2.0, SATA3.0 (14) 6 GTX高速接口 (14)

一.常用逻辑电平标准 附图1: 附图2:

附图3: 附图4: 1.1 COMS电平 电平参数条件最大值典型值最小值单位备注电源电压(VCC) 5.5 5 4.5 V 输入高压(VIH) 3.5 V 输入低压(VIL) 1.5 V 输出高压(VOH) 4.44 V 输出低压(VOL)0.5 V 共模电压(VT) 2.5 V

传输延迟时间(25-50ns) 最高速率 耦合方式 1.2 LVCOMS电平 LVCOMS电平参数条件最大值典型值最小值单位备注电源电压(VCC) 3.6 3.3 2.7 V 输入高压(VIH)0.7VCC V 输入低压(VIL) 0.2VCC V 输出高压(VOH) VCC-0.1 V 输出低压(VOL)0.1 V 共模电压(VT)0.5VCC V 最高速率 耦合方式 2.1 TTL电平 电平参数条件最大值典型值最小值单位备注电源电压(VCC) 5.5 5 4.5 V 输入高压(VIH) 2 V 输入低压(VIL) 0.8 V 输出高压(VOH) 2.4 V 输出低压(VOL)0.5 V 共模电压(VT) 1.5 V 传输延迟时间(5-10ns), 最高速率 耦合方式 2.2 LVTTL电平 电平参数条件最大值典型值最小值单位备注

集合与常用逻辑用语重要知识点

集合与简易逻辑重要知识点 一、知识结构: 本章知识主要分为集合、简单不等式的解法(集合化简)、简易逻辑三部分: 二、知识回顾: (一)集合 1.基本概念:集合、元素;有限集、无限集;空集、全集;符号的使用 . 2.集合的表示法:列举法、描述法、图形表示法. 集合元素的特征:确定性、互异性、无序性. 集合的性质: ①任何一个集合是它本身的子集,记为A A ; ②空集是任何集合的子集,记为A ; ③空集是任何非空集合的真子集; 如果B A ,同时A B ,那么A=B. 如果C A C B B A ,那么,. [注]:①Z ={整数}(√)Z ={全体整数}(×) ②已知集合S 中A 的补集是一个有限集,则集合A 也是有限集.(×)(例: S=N ;A=N , 则C s A={0}) ③空集的补集是全集. ④若集合A =集合B ,则C B A =,C A B =C S (C A B )=D (注:C A B =). 3.①{(x ,y )|xy =0,x ∈R ,y ∈R }坐标轴上的点集. ②{(x ,y )|xy <0,x ∈R ,y ∈R 二、四象限的点集. ③{(x ,y )|xy >0,x ∈R ,y ∈R }一、三象限的点集. [注]:①对方程组解的集合应是点集. 例:1323 y x y x 解的集合{(2,1)}.

②点集与数集的交集是.(例:A={(x ,y )|y =x +1}B={y |y =x 2+1}则A ∩B =) 4.①n 个元素的子集有2n 个.②n 个元素的真子集有2n -1个.③n 个元素的非空真子集有2n -2个. 5.⑴①一个命题的否命题为真,它的逆命题一定为真.否命题逆命题. ②一个命题为真,则它的逆否命题一定为真.原命题逆否命题. 例:①若325b a b a 或,则应是真命题. 解:逆否:a =2且b =3,则a+b =5,成立,所以此命题为真. ②,且21y x 3y x . 解:逆否:x+y =3x=1或y =2. 21y x 且3y x ,故3y x 是21y x 且的既不是充分,又不是必要条件. ⑵小范围推出大范围;大范围推不出小范围. 3.例:若255x x x 或,. 4.集合运算:交、并、补. 5.主要性质和运算律 (1)包含关系:,,,, ,;,;,. U A A A A U A U A B B C A C A B A A B B A B A A B B I I U U C (2)等价关系:U A B A B A A B B A B U I U U C (3)集合的运算律: 交换律:. ;A B B A A B B A 结合律:) ()();()(C B A C B A C B A C B A 分配律:.) ()()();()()(C A B A C B A C A B A C B A 0-1律:,,,A A A U A A U A U I U I U 等幂律:. ,A A A A A A 求补律:A ∩C U A =φA ∪C U A=U?C U U =φ?C U φ=U 反演律:C U (A ∩B)=(C U A)∪(C U B)C U (A ∪B)=(C U A )∩(C U B) 6.有限集的元素个数 定义:有限集A 的元素的个数叫做集合A 的基数,记为card(A)规定card(φ)=0. 基本公式: (3)card (?U A )=card(U)-card(A) (二)含绝对值不等式、一元二次不等式的解法及延伸 1.整式不等式的解法 根轴法(零点分段法) ①将不等式化为a 0(x-x 1)(x-x 2)…(x-x m )>0(<0)形式,并将各因式x 的系数化“+”; (为了统一方便)

高考题汇总—常用逻辑用语(供参考)

2016年高考数学文试题分类汇编—常用逻辑用语 1、(2016年山东高考)已知直线a ,b 分别在两个不同的平面α, 内,则“直线a 和直线b 相交”是“平面 α和平面相交”的 (A )充分不必要条件(B )必要不充分条件(C )充要条件 (D )既不充分也不必要条件 2、(2016年上海高考)设R a ∈,则“1>a ”是“12>a ”的( ) (A )充分非必要条件(B )必要非充分条件(C )充要条件(D )既非充分也非必要条件 4、(2016年四川高考)设p:实数x ,y 满足x>1且y>1,q: 实数x ,y 满足x+y>2,则p 是q 的 (A)充分不必要条件 (B)必要不充分条件 (C) 充要条件 (D) 既不充分也不必要条件 5、(2016年天津高考)设0>x ,R y ∈,则“y x >”是“||y x >”的( ) (A )充要条件 (B )充分而不必要条件(C )必要而不充分条件(D )既不充分也不必要条件 6、(2016年浙江高考)已知函数f (x )=x 2+bx ,则“b <0”是“f (f (x ))的最小值与f (x )的最小值相等”的( ) A.充分不必要条件 B.必要不充分条件 C.充分必要条件 D.既不充分也不必要条件 2016年高考数学理试题分类汇编—常用逻辑用语 1、(北京理数4).设a ,b 是向量,则“||||a b =”是“||||a b a b +=-”的( ) A.充分而不必要条件 B.必要而不充分条件 C.充分必要条件 D.既不充分也不必要条件 2、(山东文理数6)已知直线a ,b 分别在两个不同的平面α,β内.则“直线a 和直线b 相交”是“平面α和平面β相交”的 (A )充分不必要条件 (B )必要不充分条件 (C )充要条件 (D )既不充分也不必要条件 3、(上海文理数15)设R a ∈,则“1>a ”是“12>a ”的( ) (A )充分非必要条件 (B )必要非充分条件(C )充要条件 (D )既非充分也非必要条件 4、(四川理数7)设p :实数x ,y 满足(x –1)2–(y –1)2≤2,q :实数x ,y 满足1,1,1,y x y x y ≥-??≥-??≤? 则p 是q 的 (A )必要不充分条件 (B )充分不必要条件 (C )充要条件(D )既不充分也不必要条件 5、(四川文数5) 设p:实数x ,y 满足x>1且y>1,q: 实数x ,y 满足x+y>2,则p 是q 的 (A)充分不必要条件 (B)必要不充分条件 (C) 充要条件 (D) 既不充分也不必要条件 6、(天津理数)设{a n }是首项为正数的等比数列,公比为q ,则“q <0”是“对任意的正整数n ,a 2n ?1+a 2n <0”的( )

几种常用逻辑电平电路的特点及应用

几种常用逻辑电平电路的特点及应用 2007-08-13 来源: 作者: LVDS(Low Voltage Differential Signal)低电压差分信号、ECL(EmitterCoupled Logic)即射极耦合逻辑、CML电平等各种逻辑电平的特点以及接口应用。 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 1 几种常用高速逻辑电平 1.1LVDS电平 LVDS(Low V oltage Differential Signal)即低电压差分信号,LVDS接口又称RS644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。 LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS 接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑“1”和逻辑“0”状态。 图1LVDS驱动器与接收器互连示意 LVDS技术在两个标准中被定义:ANSI/TIA/EIA644 (1995年11月通过)和IEEE P1596.3 (1996年3月通过)。这两个标准中都着重定义了LVDS的电特性,包括:①低摆幅(约为350 mV)。低电流驱动模式意味着可实现高速传输。ANSI/TIA/EIA644建议了655 Mb/s的最大速率和1.923 Gb/s的无失真通道上的理论极限速率。 ②低压摆幅。恒流源电流驱动,把输出电流限制到约为3.5 mA左右,使跳变期间的尖峰干扰最小,因而产生的功耗非常小。这允许集成电路密度的进一步提高,即提高了PCB板的效能,减少了成本。 ③具有相对较慢的边缘速率(dV/dt约为0.300 V/0.3 ns,即为1 V/ns),同时采用差

选修2-1 常用逻辑用语【教案】

第一章常用逻辑用语教案 1.1命题及其关系 1.1.1 命题 (一)教学目标 1、知识与技能:理解命题的概念和命题的构成,能判断给定陈述句是否为命题,能判断命题的真假;能把命题改写成“若p,则q”的形式; 2、过程与方法:多让学生举命题的例子,培养他们的辨析能力;以及培养他们的分析问题和解决问题的能力; 3、情感、态度与价值观:通过学生的参与,激发学生学习数学的兴趣。 (二)教学重点与难点 重点:命题的概念、命题的构成 难点:分清命题的条件、结论和判断命题的真假 教具准备:与教材内容相关的资料。 教学设想:通过学生的参与,激发学生学习数学的兴趣。 (三)教学过程 学生探究过程: 1.复习回顾 初中已学过命题的知识,请同学们回顾:什么叫做命题? 2.思考、分析 下列语句的表述形式有什么特点?你能判断他们的真假吗? (1)若直线a∥b,则直线a与直线b没有公共点. (2)2+4=7. (3)垂直于同一条直线的两个平面平行. (4)若x2=1,则x=1. (5)两个全等三角形的面积相等. (6)3能被2整除. 3.讨论、判断 学生通过讨论,总结:所有句子的表述都是陈述句的形式,每句话都判断什么事情。其中(1)(3)(5)的判断为真,(2)(4)(6)的判断为假。 教师的引导分析:所谓判断,就是肯定一个事物是什么或不是什么,不能含混不清。 4.抽象、归纳 定义:一般地,我们把用语言、符号或式子表达的,可以判断真假的陈述句叫做命题.命题的定义的要点:能判断真假的陈述句. 在数学课中,只研究数学命题,请学生举几个数学命题的例子.教师再与学生共同从命题的定义,判断学生所举例子是否是命题,从“判断”的角度来加深对命题这一概念的理解. 5.练习、深化 判断下列语句是否为命题? (1)空集是任何集合的子集.(2)若整数a是素数,则是a奇数. (3)指数函数是增函数吗?(4)若平面上两条直线不相交,则这两条直线平行. (5) 2 )2 ( =-2.(6)x>15. 让学生思考、辨析、讨论解决,且通过练习,引导学生总结:判断一个语句是不是命题,关键看两

RS232、RS485、RS422电平-及常见逻辑电平标准

RS232、RS485、RS422电平,及常见逻辑电平标准 RS232电平或者说串口电平,有的甚至说计算机电平,所有的这些说法,指得都是计算机9针串口(RS232)的电平,采用负逻辑, -15v ~ -3v 代表1 +3v ~ +15v 代表0 RS485电平和RS422电平由于两者均采用差分传输(平衡传输)的方式,所以他们的电平方式,一般有两个引脚 A,B 发送端 AB间的电压差 +2 ~+6v 1 -2 ~-6v 0 接收端 AB间的电压差 大于+200mv 1 小于-200mv 0 定义逻辑1为B>A的状态 定义逻辑0为A>B的状态 AB之间的电压差不小于200mv 一对一的接头的情况下 RS232 可做到双向传输,全双工通讯最高传输速率 20kbps 422 只能做到单向传输,半双工通讯,最高传输速率10Mbps 485 双向传输,半双工通讯, 最高传输速率10Mbps

常见逻辑电平标准 下面总结一下各电平标准。和新手以及有需要的人共享一下^_^. 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的 LVDS、GTL、PGTL、CML、HSTL、SSTL等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用就先不讲了。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻;TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。 3.3V LVCMOS: Vcc:3.3V;VOH>=3.2V;VOL<=0.1V;VIH>=2.0V;VIL<=0.7V。 2.5V LVCMOS: Vcc:2.5V;VOH>=2V;VOL<=0.1V;VIH>=1.7V;VIL<=0.7V。 CMOS使用注意:CMOS结构内部寄生有可控硅结构,当输入或输入管脚高于VCC一定值(比如一些芯片是0.7V)时,电流足够大的话,可能引起闩锁效应,导致芯片的烧毁。

解逻辑电平知识集合

要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。 3:输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于此Voh。 4:输出低电平(Vol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此Vol。 5:阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输出,则必须要求输入高电平> Vih,输入低电平 Vih > Vt > Vil > Vol。 6:Ioh:逻辑门输出为高电平时的负载电流(为拉电流)。 7:Iol:逻辑门输出为低电平时的负载电流(为灌电流)。 8:Iih:逻辑门输入为高电平时的电流(为灌电流)。 9:Iil:逻辑门输入为低电平时的电流(为拉电流)。 门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门称为开路门。开路的TTL、CMOS、ECL门分别称为集电极开路(OC)、漏极开路(OD)、发射极开路(OE),使用时应审查是否接上拉电阻(OC、OD门)或下拉电阻(OE门),以及电阻阻值是否合适。对于集电极开路(OC)门,其上拉电阻阻值RL应满足下面条件: (1):RL < (VCC-Voh)/(n*Ioh+m*Iih) (2):RL > (VCC-Vol)/(Iol+m*Iil) 其中n:线与的开路门数;m:被驱动的输入端数。 :常用的逻辑电平 ·逻辑电平:有TTL、CMOS、LVTTL、ECL、PECL、GTL;RS232、RS422、LVDS等。 ·其中TTL和CMOS的逻辑电平按典型电压可分为四类:5V系列(5V TTL和5V CMOS)、3.3V系列,2.5V系列和1.8V系列。 ·5V TTL和5V CMOS逻辑电平是通用的逻辑电平。 ·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。 ·低电压的逻辑电平还有2.5V和1.8V两种。 ·ECL/PECL和LVDS是差分输入输出。 ·RS-422/485和RS-232是串口的接口标准,RS-422/485是差分输入输出,RS-232是单端输入输出。1.电平的上限和下限定义不一样,CMOS具有更大的抗噪区域。 同是5伏供电的话,ttl一般是1.7V和3.5V的样子,CMOS一般是 2.2V,2.9V的样子,不准确,仅供参考。 2。电流驱动能力不一样,ttl一般提供25毫安的驱动能力,而

华为逻辑电平接口设计规范

Q/DKBA 深圳市华为技术有限公司技术规范 错误!未定义书签。Q/DKBA0.200.035-2000 逻辑电平接口设计规范

2000-06-20发布 2000-06-20实施深圳市华为技术有限公司发布

本规范起草单位:各业务部、研究技术管理处硬件工程室。 本规范主要起草人如下:赵光耀、钱民、蔡常天、容庆安、朱志明,方光祥、王云飞。 在规范的起草过程中,李东原、陈卫中、梅泽良、邢小昱、李德、梁军、何其慧、甘云慧等提出了很好的建议。在此,表示感谢! 本规范批准人:周代琪 本规范解释权属于华为技术有限公司研究技术管理处硬件工程室。 本规范修改记录:

目录 1、目的 5 2、范围 5 3、名词定义 5 4、引用标准和参考资料 6 5、TTL器件和CMOS器件的逻辑电平8 5.1:逻辑电平的一些概念8 5.2:常用的逻辑电平9 5.3:TTL和CMOS器件的原理和输入输出特 性9 5.4:TTL和CMOS的逻辑电平关系10 6、TTL和CMOS逻辑器件12 6.1:TTL和CMOS器件的功能分类12 6.2:TTL和MOS逻辑器件的工艺分类特点13 6.3:TTL和CMOS逻辑器件的电平分类特点13 6.4:包含特殊功能的逻辑器件14 6.5:TTL和CMOS逻辑器件的选择15 6.6:逻辑器件的使用指南15 7、TTL、CMOS器件的互连17 7.1:器件的互连总则17 7.2:5V TTL门作驱动源20 7.3:3.3V TTL/CMOS门作驱动源20 7.4:5V CMOS门作驱动源20 7.5:2.5V CMOS逻辑电平的互连20 8、EPLD和FPGA器件的逻辑电平21 8.1:概述21 8.2:各类可编程器件接口电平要求21 8.3:各类可编程器件接口电平要求21 8.3.1:EPLD/CPLD的接口电平21 8.3.2:FPGA接口电平25 9、ECL器件的原理和特点35 9.1:ECL器件的原理35 9.2:ECL电路的特性36 9.3:PECL/LVPECL器件的原理和特点37 9.4:ECL器件的互连38 9.4.1:ECL器件和TTL器件的互连38 9.4.2:ECL器件和其他器件的互连39 9.5:ECL器件的匹配方式39 9.6:ECL器件的使用举例41 9.6.1:SYS100E111的设计41 9.6.2:SY100E57的设计42 9.1:ECL电路的器件选择43 9.2:ECL器件的使用原则43

常用电平接口

我们知道,在电路系统的各个子模块进行数据交换时可能会存在一些问题导致信号无法正常、高质量地“流通”,例如有时电路子模块各自的工作时序有偏差(如CPU与外设)或者各自的信号类型不一致(如传感器检测光信号)等,这时我们应该考虑通过相应的接口方式来很好地处理这个问题。 下面就电路设计中7个常用的接口类型的关键点进行说明一下: (1)TTL电平接口:这个接口类型基本是老生常谈的吧,从上大学学习模拟电路、数字电路开始,对于一般的电路设计,TTL电平接口基本就脱不了“干系”!它的速度一般限制在30MHz以内,这是由于BJT的输入端存在几个pF的输入电容的缘故(构成一个LPF),输入信号超过一定频率的话,信号就将“丢失”。它的驱动能力一般最大为几十个毫安。正常工作的信号电压一般较高,要是把它和信号电压较低的ECL电路接近时会产生比较明显的串扰问题。 (2)CMOS电平接口:我们对它也不陌生,也是经常和它打交道了,一些关于CMOS 的半导体特性在这里就不必啰嗦了。许多人都知道的是,正常情况下CMOS的功耗和抗干扰能力远优于TTL。但是!鲜为人知的是,在高转换频率时,CMOS系列实际上却比TTL消耗更多的功率,至于为什么是这样,请去问半导体物理理论吧。由于CMOS的工作电压目前已经可以很小了,有的FPGA内核工作电压甚至接近1.5V,这样就使得电平之间的噪声容限比TTL小了很多,因此更加加重了由于电压波动而引发的信号判断错误。众所周知,CMOS电路的输入阻抗是很高的,因此,它的耦合电容容量可以很小,而不需要使用大的电解电容器了。由于CMOS 电路通常驱动能力较弱,所以必须先进行TTL转换后再驱动ECL电路。此外,设计CMOS接口电路时,要注意避免容性负载过重,否则的话会使得上升时间变慢,而且驱动器件的功耗也将增加(因为容性负载并不耗费功率)。 (3)ECL电平接口:这可是计算机系统内部的老朋友啊!因为它的速度“跑”得够快,甚至可以跑到几百MHz!这是由于ECL内部的BJT在导通时并没有处于饱和状态,这样就可以减少BJT的导通和截止时间,工作速度自然也就可以提上去了。But,这是要付出代价的!它的致命伤:功耗较大!它引发的EMI问题也就值得考虑了,抗干扰能力也就好不到哪去了,要是谁能够折中好这两点因素的话,那么他(她)就该发大财了。还有要注意的是,一般ECL集成电路是需要负电源供电的,也就是说它的输出电压为负值,这时就需要专门的电平移动电路了。 (4)RS-232电平接口:玩电子技术的基本没有谁不知道它的了(除非他或她只是电子技术专业的“门外汉”)。它是低速串行通信接口标准,要注意的是,它的电平标准有点“反常”:高电平为-12V,而低电平为+12V。So,当我们试图通过计算机与外设进行通信时,一个电平转换芯片MAX232自然是少不了的了。但是我们得清醒地意识到它的一些缺点,例如数据传输速度还是比较慢、传输距离也较短等。 (5)差分平衡电平接口:它是用一对接线端A和B的相对输出电压(uA-uB)来表示信号的,一般情况下,这个差分信号会在信号传输时经过一个复杂的噪声环境,导致两根线上都产生基本上相同数量的噪声,而在接收端将会把噪声的能量

各种逻辑电平标准

各种逻辑电平标准 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 5V TTL和5V CMOS逻辑电平是通用的逻辑电平。·3.3V及以下的逻辑电平被称为低电压逻辑电平,常用的为LVTTL电平。·低电压的逻辑电平还有2.5V和1.8V两种。·ECL/PECL和LVDS是差分输入输出。·RS-422/485和RS-232是串口的接口标准,RS-422/485是差分输入 常用电平标准 现在常用的电平标准有TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVPECL、RS232、RS485等,还有一些速度比较高的LVDS、GTL、PGTL、CML、HSTL、SSTL 等。下面简单介绍一下各自的供电电源、电平标准以及使用注意事项。 TTL:Transistor-Transistor Logic 三极管结构。 Vcc:5V;VOH>=2.4V;VOL<=0.5V;VIH>=2V;VIL<=0.8V。 因为2.4V与5V之间还有很大空闲,对改善噪声容限并没什么好处,又会白白增大系统功耗,还会影响速度。所以后来就把一部分“砍”掉了。也就是后面的LVTTL。 LVTTL又分3.3V、2.5V以及更低电压的LVTTL(Low Voltage TTL)。 3.3V LVTTL: Vcc:3.3V;VOH>=2.4V;VOL<=0.4V;VIH>=2V;VIL<=0.8V。 2.5V LVTTL: Vcc:2.5V;VOH>=2.0V;VOL<=0.2V;VIH>=1.7V;VIL<=0.7V。 更低的LVTTL不常用。多用在处理器等高速芯片,使用时查看芯片手册就OK了。 TTL使用注意:TTL电平一般过冲都会比较严重,可能在始端串22欧或33欧电阻; TTL电平输入脚悬空时是内部认为是高电平。要下拉的话应用1k以下电阻下拉。TTL输出不能驱动CMOS输入。 CMOS:Complementary Metal Oxide Semiconductor PMOS+NMOS。 Vcc:5V;VOH>=4.45V;VOL<=0.5V;VIH>=3.5V;VIL<=1.5V。 相对TTL有了更大的噪声容限,输入阻抗远大于TTL输入阻抗。对应3.3V LVTTL,出现了LVCMOS,可以与3.3V的LVTTL直接相互驱动。

《专题一常用逻辑用语》知识点归纳

高中数学必修+选修知识点归纳 新课标人教A 版 复习寄语:

鲁甸县文屏镇中学高三第一轮复习资料 引言 1.课程内容: 必修课程由5个模块组成: 必修1:集合、函数概念与基本初等函数(指、对、幂函数) 必修2:立体几何初步、平面解析几何初步。必修3:算法初步、统计、概率。 必修4:基本初等函数(三角函数)、平面向量、三角恒等变换。 必修5:解三角形、数列、不等式。 以上是每一个高中学生所必须学习的。 上述内容覆盖了高中阶段传统的数学基础知识和基本技能的主要部分,其中包括集合、函数、数列、不等式、解三角形、立体几何初步、平面解析几何初步等。不同的是在保证打好基础的同时,进一步强调了这些知识的发生、发展过程和实际应用,而不在技巧与难度上做过高的要求。 此外,基础内容还增加了向量、算法、概率、统计等内容。 选修课程有4个系列: 系列1:由2个模块组成。 选修1—1:常用逻辑用语、圆锥曲线与方程、 导数及其应用。 选修1—2:统计案例、推理与证明、数系的扩 充与复数、框图 系列2:由3个模块组成。 选修2—1:常用逻辑用语、圆锥曲线与方程、 空间向量与立体几何。 选修2—2:导数及其应用,推理与证明、数系 的扩充与复数 选修2—3:计数原理、随机变量及其分布列, 统计案例。 系列3:由6个专题组成。 选修3—1:数学史选讲。 选修3—2:信息安全与密码。 选修3—3:球面上的几何。 选修3—4:对称与群。 选修3—5:欧拉公式与闭曲面分类。 选修3—6:三等分角与数域扩充。 系列4:由10个专题组成。 选修4—1:几何证明选讲。 选修4—2:矩阵与变换。 选修4—3:数列与差分。 选修4—4:坐标系与参数方程。 选修4—5:不等式选讲。 选修4—6:初等数论初步。 选修4—7:优选法与试验设计初步。 选修4—8:统筹法与图论初步。 选修4—9:风险与决策。 选修4—10:开关电路与布尔代数。 2.重难点及考点: 重点:函数,数列,三角函数,平面向量,圆锥曲线,立体几何,导数 难点:函数、圆锥曲线 高考相关考点:

常用逻辑电平简介讲解学习

常用逻辑电平简介(转载) 逻辑电平有:TTL、CMOS、LVTTL、LVCMOS、ECL、PECL、LVDS、GTL、BTL、ETL、GTLP;RS232、RS422、RS485等。 图1-1:常用逻辑系列器件 TTL:Transistor-Transistor Logic CMOS:Complementary Metal Oxide Semicondutor LVTTL:Low Voltage TTL LVCMOS:Low Voltage CMOS ECL:Emitter Coupled Logic, PECL:Pseudo/Positive Emitter Coupled Logic LVDS:Low Voltage Differential Signaling GTL:Gunning Transceiver Logic BTL:Backplane Transceiver Logic ETL:enhanced transceiver logic GTLP:Gunning Transceiver Logic Plus TI的逻辑器件系列有:74、74HC、74AC、74LVC、74LVT等 S - Schottky Logic LS - Low-Power Schottky Logic CD4000 - CMOS Logic 4000 AS - Advanced Schottky Logic 74F - Fast Logic ALS - Advanced Low-Power Schottky Logic HC/HCT - High-Speed CMOS Logic BCT - BiCMOS Technology AC/ACT - Advanced CMOS Logic FCT - Fast CMOS Technology ABT - Advanced BiCMOS Technology LVT - Low-Voltage BiCMOS Technology LVC - Low Voltage CMOS Technology LV - Low-Voltage CBT - Crossbar Technology ALVC - Advanced Low-Voltage CMOS Technology AHC/AHCT - Advanced High-Speed CMOS CBTLV - Low-Voltage Crossbar Technology ALVT - Advanced Low-Voltage BiCMOS Technology AVC - Advanced Very-Low-Voltage CMOS Logic TTL器件和CMOS器件的逻辑电平 :逻辑电平的一些概念 要了解逻辑电平的内容,首先要知道以下几个概念的含义: 1:输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。 2:输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,

高考文科数学试题分类汇编13:常用逻辑用语

1 高考文科数学试题分类汇编13:常用逻辑用语 一、选择题 1 .(2013年高考重庆卷(文))命题“对任意x R ∈,都有20x ≥”的否定为 ( ) A .对任意x R ∈,使得20x < B .不存在x R ∈,使得20x < C .存在0x R ∈,都有2 00x ≥ D .存在0x R ∈,都有2 00x < 【答案】A 2 .(2013年高考四川卷(文))设x Z ∈,集合A 是奇数集,集合B 是偶数集.若命题:,2p x A x B ?∈∈,则 ( ) A .:,2p x A x B ??∈∈ B .:,2p x A x B ???∈ C .:,2p x A x B ??∈? D .:,2p x A x B ???? 【答案】C 3 .(2013年高考湖南(文))“1

几种常用逻辑电平电路的特点及应用

几种常用逻辑电平电路的特点及应用 发布时间:2005-12-25 来源:应用领域:邮电 ONT face=Verdana> 引言 在通用的电子器件设备中,TTL和CMOS电路的应用非常广泛。但是面对现在系统日益复杂,传输的数据量越来越大,实时性要求越来越高,传输距离越来越长的发展趋势,掌握高速数据传输的逻辑电平知识和设计能力就显得更加迫切了。 1 几种常用高速逻辑电平 1.1LVDS电平 LVDS(Low Voltage Differential Signal)即低电压差分信号,LVDS接口又称RS644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。 LVDS的典型工作原理如图1所示。最基本的LVDS器件就是LVDS驱动器和接收器。LVDS的驱动器由驱动差分线对的电流源组成,电流通常为3.5 mA。LVDS接收器具有很高的输入阻抗,因此驱动器输出的大部分电流都流过100 Ω的匹配电阻,并在接收器的输入端产生大约350 mV的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑“1”和逻辑“0”状态。 LVDS技术在两个标准中被定义:ANSI/TIA/EIA644 (1995年11月通过)和IEEE P1596.3 (1996年3月通过)。这两个标准中都着重定义了LVDS的电特性,包括: ①低摆幅(约为350 mV)。低电流驱动模式意味着可实现高速传输。 ANSI/TIA/EIA644建议了655 Mb/s的最大速率和1.923 Gb/s的无失真通道上的理论极限速率。 ②低压摆幅。恒流源电流驱动,把输出电流限制到约为3.5 mA左右,使跳变期间的尖峰干扰最小,因而产生的功耗非常小。这允许集成电路密度的进一步提高,即提高了PCB 板的效能,减少了成本。 ③具有相对较慢的边缘速率(dV/dt约为0.300 V/0.3 ns,即为1 V/ns),同时采用差分传输形式,使其信号噪声和EMI都大为减少,同时也具有较强的抗干扰能力。 所以,LVDS具有高速、超低功耗、低噪声和低成本的优良特性。 LVDS的应用模式可以有四种形式: ①单向点对点(point to point),这是典型的应用模式。 ②双向点对点(point to point),能通过一对双绞线实现双向的半双工通信。可以由标准的LVDS的驱动器和接收器构成;但更好的办法是采用总线LVDS驱动器,即BLVDS,这是为总线两端都接负载而设计的。 ③多分支形式(multidrop),即一个驱动器连接多个接收器。当有相同的数据要传给多个负载时,可以采用这种应用形式。④多点结构(multipoint)。此时多点总线支持多个驱动器,也可以采用BLVDS驱动器。它可以提供双向的半双工通信,但是在任一时刻,

集合与常用逻辑用语-2014年高考文科数学试题分类解析(研究版)

目录 2014年全国新课标高考文科数学考试大纲 (1) 2013年高考文科数学考试大纲(新课标) (1) 一.列举法 (2) §11 交集 (2) §12 补集 (4) 二.描述法 (5) §21 交集 (5) 三.综合性问题 (7) §31 混合运算 (7) 四常用逻辑用语 (8) §21命题的否定 (8) §22充要条件 (9) §23充要条件 (10) 专题1 集合与常用逻辑用语 2014年全国新课标高考文科数学考试大纲 (1)集合的含义与表示 ①了解集合的含义、元素与集合的属于关系 ②能用自然语育、图形语言、集合语言(列举法或描述法)描述不同的具体问题 (2)集合间的基本关系 ①理解集合之间包含与相等的含义,能识别给定集合的子集 ②在具体情境中,了解全集写空集的含义 (3)集合的基本运算 ①理解两个集合的并集和交集的含义,会求两个简单集合的并集和交集 ②理解在给定集合中一个子集的补集的含义,会求给定子集的补集 ③能使用韦恩(Venn)图表达集合的关系及运算 2013年高考文科数学考试大纲(新课标) (1)集合的含义与表示 ①了解集合的含义、元素与集合的属于关系 ②能用自然语育、图形语言、集合语言(列举法或描述法)描述不同的具体问题 (2)集合间的基本关系 ①理解集合之间包含与相等的含义,能识别给定集合的子集 ②在具体情境中,了解全集写空集的含义 (3)集合的基本运算 ①理解两个集合的并集和交集的含义,会求两个简单集合的并集和交集 ②理解在给定集合中一个子集的补集的含义,会求给定子集的补集

③能使用韦恩(Venn )图表达集合的关系及运算 一.列举法 §11 交集 【例1】【2014高考北京卷文第1题】若集合A={}0,1,2,4,B={}1,2,3,则A B =( ) A {}0,1,2,3,4 B {}0,4 C {}1,2 D {}3 【答案】C 【曹亚云·解析1】A B ={0,1,2,4}{1,2,3}={1,2} 【曹亚云·解析2】Mathematica90 In[1]:= Intersection[{0,1,2,4},{1,2,3}] Out[1]= {1,2} 【曹亚云·解析3】Excel2013 1在A2:A5单元格输入0,1,2,4,在B2:B4单元格输入1,2,3; 2在C2单元格输入 =IF(AND(COUNTIF($A$2:$A$5,A1)>=1,COUNTIF($B$2:$B$4,A1)>=1),A1,""),向下填充 结果如下图所示: “高中数学师生群”QQ 群号码:341383390,欢迎各位一线高中数学教师加入,欢迎各位在读高中学生加入 “高中数学教师俱乐部”QQ 群号码:44359573,欢迎各位一线高中数学教师加入注:该群为教师群,拒绝学生申请 【练习1】【2014高考广东卷文第1题】已知集合{}2,3,4M =,{}0,2,3,5N =,则M N = ( ) A {}0,2 B {}2,3 C {}3,4 D {}3,5 【答案】B

电平信号及接口电路

电平信号及接口电路 ——————————————————————————————————— 摘要:介绍了目前数字信号设计中,IC芯片常用电平的原理、应用及各种电平信号相互转换的实现方法,PCB布线技巧等。 关键词:TTL、CMOS、ECL、PECL、LVPECL、LVDS、CML 概述 随着数据传输业务需求的增加,如何高质量的解决高速IC 芯片间的互连变得越来越重要。从目前发展来看,芯片主要有以下几种接口电平:TTL(LVTTL)、CMOS、ECL、PECL、LVPECL、LVDS等,其中PECL、LVPECL、LVDS主要应用在高速芯片的接口,不同电平间是不能直接互连的,需要相应的电平转换电路和转换芯片,了解各种电平的结构及性能参数对分析电路是十分必要有益的,本文正是从各种电平信号的性能参数开始,结合参考资料对电平信号的互连进行介绍。 图1 常用电平信号 图1展示了各种电平信号的差异:方波的振幅表示逻辑高低电平值,括号中的电压值表示电源电压值。 下面先介绍一下电路的相关基本概念: (1)输出高电平(VOH):逻辑电平为1的输出电压,相应的输出电流用I OH表示。 (2)输出低电平(VOL):逻辑电平为0的输出电压,相应的输出电流用I OL表示。 (3)输入高电平(VIH):逻辑电平为1的输入电压,相应的输入电流用I IH表示。 (4)输入低电平(VIL):逻辑电平为0的输入电压,相应的输入电流用I IL表示。 (5)关门电平(V OFF):保证输出为标准高电平V SH(出厂时厂家给出)的条件下所允许的最大 输入低电平值。 (6)开门电平(V ON):保证输出为标准低电平V SL(出厂时厂家给出)的条件下所允许的最小输 入高电平值。 (7)低电平噪声容限(V NL):是保证输出高电平的前提下,允许叠加在输入低电平上的最大噪 声电压,其数值为关门电平V OFF与输入最小低电平的差值。 (8)高电平噪声容限(V NH):是保证输出低电平的前提下,允许叠加在输入高电平上的最大噪 声电压,其数值为输入最大低电平与开门电平V ON的差值。 (9) 输出差分信号

相关文档