文档库 最新最全的文档下载
当前位置:文档库 › fpga毕业设计

fpga毕业设计

fpga毕业设计
fpga毕业设计

电子科技大学

UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 学士学位论文

BACHELOR DISSERTATION

论文题目六位数字频率计的设计与实现

学生姓名关浩亮

学号2012029180002

学院电子工程学院

专业电子信息工程

指导教师王玉兰

指导单位实验中心

年月日

摘要

摘要

频率计是一种应用非常广泛的电子仪器,也是电子测量领域中的一种重要仪器,而高精度的频率计的应用尤为广泛。

本论文首先简单介绍了EDA技术原理和QuartusⅡ开发软件的操作方法,接着论述了三种常见的测频方法,选用其中的软件测频法实现了高精度测频的目的。本设计分为硬件设计和软件设计,其中软件设计部分采用VHDL编写,分为分频模块,锁存模块,波形计数模块和频率值结果显示模块,各个模块程序均通过了时序仿真验证和功能仿真验证,再利用顶层文件将所有模块连接起来,拼接成一个整体。模块划分的设计具有相对独立性,可以对模块单独进行设计、调试和修改,缩短了设计周期。此次设计的硬件实现环境是实验板和焊接模块。本论文对测频系统的设计流程、模型的建立和仿真做出了具体详细的研究,验证了该系统的正确性。

本系统采用了FPGA来实现高精度数字频率计设计。除信号整形输入部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。

关键词:VHDL,数字频率计,EDA,FPGA

I

ABSTRACT

Abstract

Frequency meter is a kind of electronic instrument applied widely.A kind of high-accuracy digital frequency meter is designed based on FPGA in this paper.

This paper first introduces the method of operation principle of EDA technology and Quartus II software,then introduces three kinds of common frequency measurement method,the selection of the precision frequency measuring method achieves high precision frequency measurement purpose.The design is divided into hardware design and software,the software design part uses the VHDL compilation, divided into frequency cut waveform counting module,data processing module and the frequency value result display module,each module program through the timing simulation and functional simulation,the top-level documents connect all modules, spliced into a whole.Design module is relatively independent,can carry on the design, debug and modify the module separately,shorten the design cycle.The design of the hardware environment is the EDA experiment box.Establishment and Simulation of the design process,the frequency measurement system model is studied in detail in the paper,the system has been proved right.

The system uses FPGA to realize the high precision digital frequency meter design.In addition to the signal input part outside,the rest allin the realization of a FPGA chip,the whole system is very compact,and flexible change of scene.

Keywords:VHDL,Digital Frequency Meter,EDA,FPGA

II

目录

第一章引言 (1)

1.1研究背景及意义 (1)

1.2论文结构及安排 (3)

第二章EDA原理概述和设计方法 (4)

2.1EDA的设计思路和方法 (4)

2.2FPGA基本原理介绍 (5)

2.3VHDL简单介绍 (6)

2.4基于EP4CE6E22C8N的实验板介绍 (7)

2.4.1实验板芯片介绍 (7)

2.4.2实验板资源介绍 (8)

2.5Quartus II13.0和ModelSim—altera介绍 (10)

第三章频率测量方法和原理 (11)

3.1采用纯硬件实现的测频方法 (11)

3.2采用软硬件结合的测量方式 (11)

3.2.1由单片机实现的软硬件相结合的实现法 (11)

3.2.2采用FPGA实现的直接测频法 (12)

3.2.2.1被测信号频率较高时 (12)

3.2.2.2被测信号频率较低时 (13)

3.3本章小结 (14)

第四章系统总体设计方案 (15)

4.1频率计系统设计任务要求和任务分析 (15)

4.1.1频率计系统设计任务要求 (15)

4.1.2频率计系统设计任务分析 (15)

4.2设计方案分析 (15)

4.3本章小结 (17)

第五章基于FPGA功能模块的分析 (18)

5.1滤波整形电路设计 (18)

5.2分频模块的设计 (19)

5.3计数模块的设计 (20)

III

5.4锁存模块的设计 (22)

5.5扫描显示模块的设计 (23)

5.6本章小结 (27)

第六章硬件测试和误差分析 (28)

6.1硬件测试 (28)

6.2误差分析 (29)

6.3本章小结 (31)

第七章结束语 (32)

7.1本文内容 (32)

7.2下一步学习工作方向 (32)

附录 (33)

参考文献 (50)

致谢 (51)

外文资料原文 (52)

外文资料译文 (57)

IV

第一章引言

第一章引言

频率是电子技术领域的一个基本参数,同时也是一个非常重要的参数,因此,频率测量已成为电子测量领域最基本最重要的测量之一。精确的频率计在科研和生活领域也变得越来越重要。

1.1研究背景及意义

频率是电子技术领域的一个基本参数,同时也是一个非常重要的参数,因此,频率测量已成为电子测量领域最基本最重要的测量之一。随着科学技术的不断发展提高,人们对科技产品的要求也相应的提高,数字化的电子产品越来越受到欢迎。频率计作为比较常用和实用的电子测量仪器,广泛应用于科研机构、学校、家庭等场合,因此它的重要性和普遍性勿庸质疑。在电子测量领域中,频率测量的精确度是最高的,可达10—10E-13数量级。因此,在生产过程中许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度、加速度,乃至各种气体的百分比成分等均用传感器转换成信号频率,然后用数字频率计来测量,以提高精确度[1]。

数字频率计具有体积小、携带方便;功能完善、测量精度高等优点,因此在以后的时间里,必将有着更加广阔的发展空间和应用价值[2]。比如:将数字频率计稍作改进,就可制成既可测频率,又能测周期、占空比、脉宽等功能的多用途数字测量仪器。将数字频率计和其他电子测量仪器结合起来,制成各种智能仪器仪表,应用于航空航天等科研场所,对各种频率参数进行计量;应用在高端电子产品上,对其中的频率参数进行测量;应用在机械器件上,对机器振动产生的噪声频率进行监控[3];等等。研究数字频率计的设计和开发,有助于频率计功能的不断改进、性价比的提高和实用性的加强。以前的频率计大多采用TTL数字电路设计而成,其电路复杂、耗电多、体积大、成本高。随后大规模专用IC(集成电路)出现,如ICM7216,ICM7226频率计专用IC,使得频率计开发设计变得简单,但由于价格较高,因此利用IC设计数字频率计的较少[4]。

而单片机数字频率计以其可靠性高、体积小、价格低、功能全等优点,广泛地应用于各种智能仪器中,这些智能仪器的操作在进行仪器校核以及测量过程的控制中,达到了自动化,传统仪器面板上的开关和旋钮被键盘所代替[5],测试人

1

电子科技大学本科学位论文

员在测量时只需按需要的键,省掉很多烦琐的人工调节,智能仪器通常能自动选择量程,自动校准[6]。有的还能自动调整测试点,这样不仅方便了操作,也提高了测试精度。

随着科学技术的发展,用户对电子计数器也提出了新的要求。对于抵挡产品要求使用操作方便,量程(足够)宽,可靠性能高(原文为“可靠性能搞”显然是印刷错误),低价格[7]。而对于中高档产品,则要求有高分辨率,高精度,搞稳定度,高测量速率;除通常通用计数器所具有的功能外,还要有数据处理功能,时域分析功能等等,或者包含电压测量等其他功能[8]。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。

由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率范围不断扩大,功能不断地增加。在测试通讯、微波器件或产品时,通常都是较复杂的信号,如含有复杂频率成分、调制的或含有未知频率分量的、频率固定的或变化的、纯净的或叠加有干扰的等等。为了能正确地测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。微波计数器一般使用类型频谱分析仪的分频或混频电路,另外还包含多个时间基准、合成器、中频放大器等。虽然所有的微波计数器都是用来完成技术任务的,但制造厂家都有各自的一套复杂的计数器的设计、使得不同型号的计数器性能和价格会有所差别,比如说一些计数器可以测量脉冲参数,并提供类似于频率分析仪的频幕显示,对这些功能具有不同功能不同规格的众多仪器。我们应该视测试需要正确的选择,以达到最经济和最佳的应用效果。

数字电路制造工业的进步,使得系统设计人员能在更小的空间实现更多的功能,从而提高系统可靠性和速度。现如今,数字频率计已经不仅仅是测量信号频率的装置了,用它还可以测量方波脉冲的脉宽。在人们的生活中频率计也发挥着越来越重要的作用,比如用数字频率计来监控生产过程,这样可以及时发现系统运行中的异常情况,以便给人们争取时间处理。

除此之外,它还可以应用于工业控制等其它领域。在传统的电子测量仪器中,示波器在进行频率测量是频率较低,误差较大。频率仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时的跟踪捕捉到被测信号的频率变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此频率计拥有非常广泛的引用范围。在传统生产制造企业中,频率计被广泛应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出的频率变化,用于通过使用

2

第一章引言

频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以用来对电台的跳帧信号进行分析。对于频率计的设计目前也有专用芯片可以实现,如利用MAXIM 公司的ICM7240来设计频率计。但由于这种芯片的计数频率比较低,远不能达到在一些场合需要测量很搞的频率要求,而测量精度也受到芯片本身的限制。提出的用AT8C52单片机设计频率计的方法可以解决这些问题,实现精度较高、等精度和宽范围频率计的设计[8]。

1.2论文结构及安排

本论文主要研究内容是基于EDA技术的使用VHDL描述语言进行高精度频率计的设计和仿真验证。本论文的主要结构安排如下:

第二章介绍了FPGA的基本原理和Quartus II13.0开发中的自上而下的设计方法。并简单介绍了EP4CE6E22C8N开发板的参数和相关引脚情况。

第三章主要介绍了现在常见的测量频率的原理方法和各种方法的优缺点比较。

第四章介绍了本方案的整体方案概述和分析,并给出了整体框架和顶层文件的分析。

第五章介绍了基于FPGA的功能模块的电路设计和仿真情况。

第六章为总体设计的硬件测试数据和误差分析内容。

第七章为结束语。

3

电子科技大学本科学位论文

第二章EDA原理概述和设计方法

2.1EDA的设计思路和方法

所谓的EDA技术,是在20世纪90年代初,从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。目前,电子设计自动化己逐渐成为重要的设计手段,其广泛应用于模拟与数字电路系统等许多领域。

EDA技术就是以计算机为工作平台,以EDA软件工具为开发环境、以硬件描述语言HDL为设计语言、以可编程逻辑器件为试验载体、以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。在EDA 的设计过程中,用HDL编写的设计文件将自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真、直至对特定目标芯片的适配编译、逻辑映射和编程下载等等工作。设计的工作仅限于利用软件的方式,即用硬件描述语言来完成对系统硬件功能的描述,在EDA工具的帮助下就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改如完成软件设计一样方便而高效。EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能就是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓的边界扫描测试。另一方面,高速发展的FPGA/CPLD器件又为EDA技术的不断进步奠定了坚实的物质基础。FPGA/CPLD器件的更广泛的应用及厂商间的竞争,使得普通的设计人员获得廉价的器件和EDA软件成为了可能,大大的促进了EDA的发展[9]。

EDA技术是现代电子工程领域的一门较新的技术,它提供了基于计算机和信息技术的电路系统设计方法,极大的推动了电子产业的发展。目前,在通信、国防、航天、工业自动化等领域的电子系统设计当中,EDA技术的含量正以惊人的速度发展着。未来的EDA将会超越电子设计的范畴进入其他的领域,随着基于EDA的SoC(System on a Chip)设计技术的发展,软硬功能核库的建立,以及基于HDL所谓自顶向下设计理念的确立,将会极大的推动电子工业的发展,将电子系统的设计和规划应用到其他的领域中去。

4

第二章EDA原理概述和设计方法

2.2FPGA基本原理介绍

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块

CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX的XC系列、TI公司的TPC系列、ALTERA 公司的FLEX系列等。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM 中,配置完成后,FPGA进入工作状念。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROMU口可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。FPGA芯片是特殊的ASIC芯片,除了具有ASIC的特点之外,还具有以下几个优点:

1)随着超大规模集成电路(Very Large Scale IC,VLSI)工艺的不断提高,单一芯片内部可以容纳上百力.个晶体管,FPGA芯片的规模也越来越大,其单片逻辑门数已达到上百万门,所实现的功能越来越强,同时还可以实现系统集成。

2)FPGA芯片在出厂之前100%都做过测试,不需要设计人员承担投资风险和

5

电子科技大学本科学位论文

费用,设计人员只需在自己的实验室罩就可以通过相关的软硬件环境来完成芯片的最终功能设计。所以,FPGA的资会投入少,节省了许多潜在的花费。

3)用户可以反复的编程、擦除、使用,或者在外围电路不动的情况下,用不同的实现软件就可以实现不同的功能。因此,用FPGA试制样本,能以最快的速度占领市场。FPGA软件包中有各种输入工具、仿真工具、版图设计工具及编程器等全线产品,使电路设计人员在很短的时间内就可以完成电路的输入、编译、优化、仿真,直至最后芯片的制作。当电路有少量的改动时,更能显示出FPGA 的优势。电路设计人员使用FPGA进行电路设计是时,不需要具备专门的IC深层次的知识,FPGA软件易学易用,可以使设计人员集中精力进行电路设计,快速将产品推向市场[10]。

FPGA的基本原理图如图2-2所示:

图2-2FPGA基本结构

2.3VHDL的简单介绍

HDL的英文全名是Very—High—Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076—1993版本,(简称93版)。现在,VHDL作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言[11]。有专家认为,在新的世纪中,VHDL语言将承担起大部分的数字系统设计任务。

6

第二章EDA原理概述和设计方法

VHDL语言覆盖面广,描述能力强,能支持硬件的设计、验证、综合和测试,是一种多层次的硬件描述语言。其设计描述可以是描述电路具体组成的结构描述,也可以是描述电路功能的行为描述。这些描述可以从最抽象的系统级直到最精确的逻辑级,甚至门级。

VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的FPGA器件中去,从而实现可编程的专用集成电路的设计。

运用VHDL语言设计系统一般采用自顶向下分层设计的方法,首先从系统级功能设计开始,对系统高层模块进行行为描述和功能仿真。系统的功能验证完成后,将抽象的高层设计自顶向下逐级细化,直到与所用可编程逻辑器件相对应的逻辑描述[12]。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言[13]。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分及端口)和内部(或称不可视部分)。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的[14]。

(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(3)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计[15]。

2.4基于EP4CE6E22C8N的实验板介绍

2.4.1实验板芯片介绍

EP4CE6E22C8N为Altera cyclone iv系列器件基于成本优化的全铜1.5V SRAM工艺,容量从2910至20060个逻辑单元,具有多达294912bit嵌入RAM。

7

电子科技大学本科学位论文

Cyclone FPGA支持各种单端I/O标准如LVTTL、LVCMOS、PCI和SSTL-2/3,通过LVDS和RSDS标准提供多达129个通道的差分I/O支持。每个LVDS通道高达640Mbps。Cyclone器件具有双数据速率(DDR)SDRAM和FCRAM接口的专用电路。Cyclone FPGA中有两个锁相环(PLLs)提供六个输出和层次时钟结构,以及复杂设计的时钟管理电路[16]。结构功能如下表2-4-1所示:

表2-4-1EP4CE6E22C8N结构和功能

芯片型号EP4CE6E22C8N

逻辑单元LEs6030

M4K Memory Blocks26

所有RAM Bits276480

PLLs2

用户可用I/O185

基本串行主动配置器件EPCS4

芯片家族信息Cyclone IV E

2.4.2实验板资源介绍

实验板如下图2-4-2实验板实物图所示:

图2-4-2实验板实物图

8

第二章EDA原理概述和设计方法

实验板包含的资源有

1.四个轻触按键

2.蜂鸣器

3.Sdram是现代的HY57V281620A

4.138译码的数码管,译码芯片为74H138

5.VGA接口

6.PS2键盘接口

https://www.wendangku.net/doc/ea11088821.html,B转串口接口

8.30pin外接IO,间距为2.54mm的接插件

9.AS接口用于烧写FPGA配置FLASH。

10.Jtag调试接口,用于调试FPGA

11.FPGA主芯片Cyclone iv EP4CE6E22C8

12.DA数模转换芯片是TI公司的TLC5620

13.AD模数转换芯片是TI公司的TLC549电位器来控制AD的模拟电压输入

14.EEPROM存储器

15.4个LED灯

实验板部分引脚分配情况如下:

#set_global_assignment-name FAMILY"Cyclone IV"

#set_global_assignment-name DEVICE ep4ce6e22c8n

set_location_assignment PIN_23-to clk

set_location_assignment PIN_136-to over

set_location_assignment PIN_135-to LED[1]

set_location_assignment PIN_133-to LED[2]

set_location_assignment PIN_132-to LED[3]

set_location_assignment PIN_68-to beep

set_location_assignment PIN_69-to reset

set_location_assignment PIN_70-to key[1]

set_location_assignment PIN_71-to key[2]

set_location_assignment PIN_72-to key[3]

set_location_assignment PIN_73-to eeprom_scl

set_location_assignment PIN_74-to eeprom_sda

set_location_assignment PIN_119-to sel[2]

9

电子科技大学本科学位论文

set_location_assignment PIN_115-to sel[1]

set_location_assignment PIN_114-to sel[0]

set_location_assignment PIN_127-to show[0]

set_location_assignment PIN_128-to show[1]

set_location_assignment PIN_124-to show[2]

set_location_assignment PIN_121-to show[3]

set_location_assignment PIN_120-to show[4]

set_location_assignment PIN_126-to show[5]

set_location_assignment PIN_129-to show[6]

set_location_assignment PIN_125-to show[7]

set_location_assignment PIN_39-to tsig

2.5Quartus II1

3.0和ModelSim—altera介绍

QuartusII是Altera公司推出的新一代开发软件,适合于大规模逻辑电路设计,其设计流概括为设计输入、设计编译、设计仿真和设计下载过程。QuartusII支持多种编辑输入法,包括图形编辑输入法,VHDL,VerilogHDL和AHDL的文本编辑输入法,符号编辑输入法,以及内存编辑输入法[17]。QuartusII与MATLAB和DSP Builder结合可以进行基于FPGA的DSP系统开发,是DSP硬件系统实现的关键EDA工具,与SOPC Builder结合,可实现SOPC系统开发[18]。Quartus(R)II软件中的工程由所有设计文件和与设计有关的设置组成。可以使用Quartus II Block Editor、Text Editor、MegaWizard(R)Plug-In Manager(Tools菜单)和EDA设计输入工具建立包括Altera(R)宏功能模块、参数化模块库(LPM)函数和知识产权(IP)函数在内的设计。可以使用Settings对话框(Assignments菜单)和Assignment Editor设定初始设计约束条件[19]。

Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件[20]。

10

第三章频率测量方法与原理

第三章频率测量方法与原理

3.1采用纯硬件实现的测频方法

仅用硬件的测量方法。图中晶体振荡提供了测量的时间基准,经放大整形后的测量信号进入计数器进行计数,再由显示电路显示数据结果。如框图3-1所示:

图3-1频率计的工作模块

上电时,由于输入信号的幅度是不一定为标准的TTL且电压是5V的信号,而计数器的输入信号的条件是TTL电平,所以在输入计数器之前必须对输入信号进行幅度调整,经过滤波放大(或限幅)以后才能变为可以测到的5V标准TTL 信号。下一极为施密特触发电路,施密特触发电路的作用是为了提高精度,特别是输入信号的频率比较低的时候精度可以大大的得到提高,如果没有经过施密特触发电路。将出现闸门信号同时跨在两个高电平信号之间时,将造成计数多一的情况,如果加了施密特触发电路后使得待测信号的高电平的脉宽被变窄了,这样出现闸门信号同时跨在两个高电平信号之间的机率大大减少,从而精度得到提高。由标准时钟产生电路产生的时基信号产生电路被测信号由整形电路进入,整形后形成方波,送入闸门,待测脉冲送到闸门后再送入计数器,连接到七段数码管上进行显示。

3.2采用软件和硬件结合测量的方式

3.2.1由单片机实现的软硬件相结合的实现法

主要的部件由单片机芯片、74HC164驱动数码显示寄存器芯片、74LS48位选芯片,放大电路,计数电路,LED数码管和一些电容、电阻等组成。

11

电子科技大学本科学位论文

12测量过程中定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为FOSC/24,由于FOSC =12MHz ,因此:T0的最大计数频率为1MHz 。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。送到数码管显示出来。T1工作在定时状态下,最大定时时间为65ms ,达不到1秒的定时,所以采用定时50ms ,共定时20次,即可完成1秒的定时功能。如下图3-2-1所示

图3-2-1采用单片机和硬件电路实现的方法

3.2.2采用FPGA 实现的直接测频法

直接测频法是最简单的、也是最基本的测量频率的方法。其原理就是在给定的闸门信号中填入脉冲,并通过一定的计数线路,得到所填充的脉冲的个数,从而算出待测信号的频率或者周期。其测量原理如图2.1所示:在测量的过程中,按照信号频率高低的不同,其测量方法分为两种。

3.2.2.1被测信号频率较高时

此时,通常选用频率较低的一个标准频率信号作为闸门信号,而将被测信号作为填充脉冲,在固定的闸门时间内对其计数。设闸门宽度为T ,计数值为N 则这种测量方法的频率测量为:

x N

f T =(3-1)

测量误差主要决定于闸门时间T 和计数器计数的数的准确度,因此,总的误差可以采用分项误差绝对值线性相加来表示,即

第三章频率测量方法与原理13

c c x x x f Df Tf f Df +±=1(3-2)其中1x

Tf ±是最大量化误差的相对值,x Tf N DN 1±=,N N D 的产生是由于在测频时,闸门的开启时刻与计数脉冲之间的时间关系不相关造成的,即在相同的主门开启时间内,计数器所得的数不一定相同,当主门开启时间T 接近甚至等于被测信号周期Tx 的整数倍时,量化的误差最大,在数值上等于石英晶体振荡器所提供的标准频率的准确度,也是闸门时间的相对误差闸门时间T T D 的准确度,即:

c c f Df T DT ±=(3-3)

高频测量时的直接测频法原理图如下图3-2-2所示:

闸门

被测信号

图3-2-2直接测频法原理图

3.2.2.2被测信号频率较低时

此时,通常选用被测信号作为闸门信号,而将频率较高的标准频率信号作为填充脉冲,进行计数。设计数值为N ,标准频率信号的频率为fs ,周期为Ts 。则这种测量方法的频率测量值为:

1

S x f NT =(3-4)

误差主要为对标准频率信号计数产生的士1个字误差,在忽略闸门信号自身误差的情况下,测量精度为:

o x x x f f f Df ±=(3-5)

直接测频方法的优点是:测量比较方便、读数直接,在比较宽的频率范围内能够获得较高的测量精度。这种测频方法的主要缺点是:测量误差主要来自于被

电子科技大学本科学位论文

测信号和标准频率信号,由于标准频率信号的计数器总存在±1个计数误差,难以同时兼顾低频和高频以实现等精度测量,所以测量的精度较低。但是,通过提高测量频率可以提高测量的精度,如果测量的频率一定时,尽可能的在比较长的闸门时间下测频,可以提高测量精度[8]。但对于较低的被测频率来说,测频的精度是不高的。

拍频法、示波器法和差频法等测量频率的方法都属于此方法的范畴。前两种方法主要低频频率的测量。差频发则常用于高频频段的频率测量,其突出的优点是测试灵敏度高。

3.3本章小结

本章详细的介绍了频率测量的常用方法,主要包括利用硬件的测频方法,软硬件结合的测频方法(包括基于单片机的测频方法和基于FPGA的直接测频法)并对几种测量方法进行了具体的分析。通过研究,指出了各种测量方法的适用测量范围及其优缺点,并对其可能产生的误差进行了分析,提出了提高测量精度的方法。

14

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

毕业设计任务书说明

毕业设计任务书说 明

基于JAVA开发网域通连 互联网飞速发展,互联网的使用也越来越普遍,网络与互联网不但成为企业内容沟通桥梁,也是企业和外部进行各类业务往来的重要管道。对企业内部的网络及电脑进行监管和控制,充分利用机器的硬件资源,节省时间,充分利用员工时间和精力在企业的工作上有重要的意义。 本软件指针对局域网内的计算机进行监视和控制;针对内部的电脑上互联网以及内部行为与资产等过程管理;包含了上网监控(上网行为监视和控制、上网行为安全审计)和内网监控(内网行为监视、控制、软硬件资产管理、数据与信息安全)。 基于JAVA开发中介房屋管理系统 房屋中介行业是房地产业的重要组成部分,其在房地产为经济运作的全过程之中起着至关重要的作用,为房地产业的生产、流通和消费提供了多元化的中介服务。房屋中介行业做一个管理房屋信息的石景山区,其对信息的管理应该准确,无误。学生能够利用学过的软件开发的设计思想,编程的知识和技术,设计与实现一款房屋中介管理的软件,能够提高中介机构的工作效率。 房产中介管理系统设计并实现了对出租,出售房屋信息的管理,对求租求购客户信息,需求的记录,并及时提供准确的信息,其

中主要内容包括如下:对房屋信息管理,对客户信息管理,发布相关房屋信息,后台数据管理等功能模块 基于JAVA开发抽奖系统 在诸多企事业单位的各种庆典、宴会等活动中,为活跃现场气氛穿插了许多抽奖过程。电子抽奖即是摆脱了传统人工收集名片或抽奖券而进行人手抽奖的繁杂程序,节约各种资源,而采用电脑智能电子抽奖的方式。 电子抽奖的优点:1.简便、高效、时尚、环保 2.公开、公平、公正3.有趣、互动、添气氛4.提升专业、领先的企业形象本抽奖软件需要多个用户注册使用,并能够大量存储客户的详细信息,为了保证提交的公平性,软件在随机及智能处理应优化算法。 基于JAVA开发选课系统 随着教育改革的不断深化,建立一套能够适应这些改变的行政管理方案也就显得尤为重要。在高等院校的日常工作中,每个学期都要面临学生的选课工作。以往在选课工作的各个阶段都是手工操作,不但效率低下、工作繁琐,而且容易出错,于是开发一套适合校情的网上选课系统便成为了教务处的迫切需求。 本设计是根据部分学校的实际情况,JAVA语言和相关数据库等技术开发了网上在线选课系统。在设计中完成了院系、专业、

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

毕业设计项目-任务书

xxxx计算机与信息科学系 毕业设计任务书 毕业设计课题名称 花卉购物网站的设计与实现 学生姓名Xxxx 学号Xxxxx 专业计算机科学与技术指导教师Xxxx 毕业设计课题目标与意义 如今当网上购物在国际互联网上可以实现的商务功并不断的已经实现多样化,从基本的对外沟通展示功能、信息发布功能、在线商品展示功能、到在线洽谈功能、在线采购功能、在线客户服务功能、在线网站管理功能等等,几乎以往传统商店功能都可以在互联网上进行电子化的高效运作。同传统购物方式相比,对于消费者来说网上购物方式具有以下优势: 可以在家里逛商店,订货不受时间限制。 获得大量的商品信息,可以买到当地没有的商品。 网上支付比传统拿现金支付更加安全,可避免现金丢失。 从订货、买货、到货物上门无需亲临现场,即省时又省力。 由于网上商店省去租店面招雇员存贮保管等一系列费用,总的来说其价格较一般商场的同类商品更便宜。 总之,对商家来说,由于网上销售没有库存压力,经营成本低,经营规模不受场地限制等有利因素,在将来会有更多的企业选择网上销售,通过互联网对市场信息的及时反馈适时调整经营战略,以此提高企业的经营效益和参与国际竞争的能力。

内容与要求 本系统主要分为前台和后台两大功能模块。 1、系统前台主要包括以下几个部分: 会员注册模块、用户登录模块、用户注册资料修改模块、商品分类及搜索模块、购物车模块、订单查看模块等,其中网站首页要显示特价商品和新上市商品。 2、系统后台管理主要分为以下几个部分: 商品进行类别管理、设置新品上市商品、设置特价商品、进行订单管理、进行会员管理及管理员管理。 主要技术指标 软件运行环境:windows 7+IE8 软件开发工具:MyEclipse、MySql、Dreamweaver 进度安排 3.7-3.18 市场调研,需求分析,撰写开题报告。 3.19- 4.10 进行概要设计,数据库设计,编写代码。 4.11- 5.25 进行详细设计,完成系统开发、系统完善和测试,撰写毕业论文。 5.26- 6.15 毕业论文答辩准备,完善毕业论文。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

网页设计毕业设计任务书.doc

河南XXXX学院 信息技术系 毕 业 设 计 任 务 书 名称:动态网页制作(ASP) 专业: 信息应用技术 姓名: X X X 学号:0 9 4 2 4 x x x 指导老师:X X X 小组其他成员姓名:_____________________ 2012年4月

(一)本设计的依据和意义(包括本毕业设计的必要性、可行性、国内外同类设计产品现状、发展趋势、已到达的水平以及存在的问题和本设计的特点): 网络在中国的发展是非常迅猛的,随着信息网络技术的应用,我们每一个人都感应到了网络给我们的工作、学习、生活等许多方面都带来了更多的方便、快捷,对于人性化的诠释达到了淋漓尽致,企业的经营观念、个人的生活观念都在发生着根本的变化。目前许多政府机关、学校、企事业单位已建立自己的网站。为了宣传自己和传递信息,建设自己部门的网站已经是迫切需要。因此,需要通过一个有着界面友好,视觉效果美观,方便易用的网站来宣传网页,方便他人了解查阅。 通过网站,全面宣传,展示网页的风采与特色,给用户提供需要的内容、而且还应该做更多的事情、完成比页面浏览更高层次的需求,例如收集信息,数据存储,数据修改以及数据删除等。

(二)设计内容及目标(包括要实现的主要功能,采用的主要开发工具,开发方法或者开发模型) 实现的主要功能: 1、用户注册及用户登录。 2、主页的浏览。 3、管理员用户登录及管理用户(的添加、修改、删除)。 4、数据库的建立及ASP与数据库的连接。 5、其次是IIS服务器的安装及设置。 设计内容: 1、讨论网站主题:建立一个关于个人学生的网站,其中有关于大学生就业、动态、健身、学习等。 2、定位网站CI形象:网站最重要的就是与浏览者产生共鸣。于是在网页设计上面,要淡到浏览者最关心的话题。 3、材料收集,网上搜一些网页背景,FIASH源代码、小图标和一些gif的动画等。 4、确定栏目和板块: ⑴首先要考虑整个网页的长和宽,以及长度和宽度之间的比例。本次设计中网页宽1024像素,长由每个部分的内容不同而设置。表格三行一列,居中设置,第一行放头图片,第二行是导航栏,第三行主页内容,第四行放置版权和地址等内容。 ⑵其次就应该考虑导航条的设置了。 导航栏的设置既是在上面第二行出插入一个一行十三列的表格,内容包括首页、动态、健身、文章欣赏等。 ⑶正文的框架设置(横向因素和纵向因素交错) 在浏览了很多精美网站之后,再结合自己的想法,先在草纸上画

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

数字媒体艺术设计专业毕业设计任务书

数字媒体艺术设计专业毕业设计任务书 一、综述 数字媒体艺术设计专业毕业设计包括三个大的部分: ①毕业论文(打印稿,并装订成册)及英文翻译(正式文本2本:导师1本,档案1本,); ②作品(电子文档,并发布成互动多媒体光盘) ③作品展示版面(80×120cm KT板装裱喷绘效果版面) 其设计方向分为以下几类: 1.短片类(①动画作品;②录影作品;③视频广告作品) 2.互动媒体类(①多媒体作品;②互动游戏作品;③虚拟/仿真作品) 3.静帧产品类(①视觉传达作品;②图形界面作品) 二、毕业设计内容说明 (一)毕业论文要求 毕业论文包括以下内容: 1)封面 2)扉页 3)毕业论文任务书 4)论文摘要,中文(400-800字) 5)论文摘要,英文 6)目录 7)图目录 8)表目录 9)正文 10)参考文献(≥20篇,必须有一篇以 上外文参考资料) 11)附录 12)毕业设计翻译 其中正文部分必须包括以下几个部分: 第一章绪论 第一节. 研究目的及方法 第二节. 论文内容介绍 第二章设计背景及必要性分析 第一节. 设计背景分析 第二节. 必要性分析 第三章作品方案设计过程 第一节. 设计概念阐述 第二节. 设计过程阐述 第四章作品制作过程 第一节. 制作过程阐述 第二节. 产品测试(互动媒体类必须 有此节内容) 第五章结论 论文格式及打印要求:详见《毕业设计.论文样本》翻译格式及打印要求:详见《毕业设计.翻译样本》 (二)作品要求 无论哪一类,所有作品都必须制作成互动多媒体光盘。光盘内容包括三大部分: ①最终作品展示 ②创作及制作过程展示 ③论文 (三)最终作品展示内容 1.动画 1)故事梗概 2)角色设定(所有正式出场角色的彩 色静帧效果图)≥1页 3)场景设定(所有场景的彩色静帧效 果图)≥3页 4)道具设定(所有重要道具的彩色静 帧效果图)≥1页 5)动画(视频文件)播放2.录影 1)内容概述 2)短片(视频文件)播放 3.视频广告 1)广告目的简述

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的液晶显示设计毕业设计论文

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

毕业设计任务书网站设计

毕业设计任务书---《网站设计》 一、教学目的 毕业设计是毕业教学中的一项重要内容,是完成教学计划达到教学目标的重要环节,是教学计划中综合性较强的实践教学环节,它对帮助学生全面牢固地掌握课内教学内容、培养学生的实践和实际动手能力、提高学生全面素质具有很重要的意义。网页设计的教学计划要求学生通过学习熟练掌握网站建设和网页制作技术, 掌握网页设计的技术与网站建设的思路,因此,毕业设计环节就占有更加重要的地位。 本毕业设计应达到以下教学目的: 1、使学生对于网络信息及网络信息发布有更加深入的理解 2、提高学生在实际操作中收集信息、对信息进行价值判断、进行信息整理、信息加工的能力 3、提高学生的网页设计与制作能力 4、使学生进一步掌握网络信息发布的原理,及网络信息发布所需的软件、硬件条件 5、使学生掌握利用软硬件资源发布一个具体网站的操作过程 二、设计的总体目标: (一设计目标及要求 1、?必须选择某企业或公司作为自己网站设计的对象。比如手机网站、汽车网站、图书网站等。 2、充分收集、整理网站设计必需的企业资料。 如:企业简介、服务承诺、经营状况、产品分类、?产品性能规格介绍、、图片资 料等。 3、必须有自主设计的网站标志Logo(图标,企业动态图片资料,?交互式 表单等。 4、网站设计必须包含足够的信息量。 ·主页面信息量在80~100KB之间 ·次级页面设计2~3层,每层信息量不低于30KB?(或按情况适当浮动 5、符合“三次单击”原则,?即网站的任何信息都应在最多三次单击后找到。 6、网页版面设计要求简洁、主色调显明,?视觉效果良好 7、次级页面至少有一个采用框架网页设计,其余网页公共表头或表尾采用共享边框设计。 8、?主页面上至少有6个以上的次级栏目超链接设置和多个相关资料友好链接处。 9、主页面上必须有动态时间显示、版权申明、联系方式等。 10、设计者可充分发挥自己的专长,应用所学知识,大胆创新、尝试、设计出具有新潮创意的网站。很好地将网站“提供信息、?娱乐观众和销售产品”这三类基

相关文档
相关文档 最新文档