文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的MCU设计毕业设计

基于FPGA的MCU设计毕业设计

基于FPGA的MCU设计毕业设计
基于FPGA的MCU设计毕业设计

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

学位论文原创性声明

本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。

作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

涉密论文按学校规定处理。

作者签名:日期:年月日

导师签名:日期:年月日

注意事项

1.设计(论文)的内容包括:

1)封面(按教务处制定的标准封面格式制作)

2)原创性声明

3)中文摘要(300字左右)、关键词

4)外文摘要、关键词

5)目次页(附件不统一编入)

6)论文主体部分:引言(或绪论)、正文、结论

7)参考文献

8)致谢

9)附录(对论文支持必要时)

2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。

3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。

4.文字、图表要求:

1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写

2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画

3)毕业论文须用A4单面打印,论文50页以上的双面打印

4)图表应绘制于无格子的页面上

5)软件工程类课题应有程序清单,并提供电子文档

5.装订顺序

1)设计(论文)

2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订

教研室(或答辩小组)及教学系意见

1 引言

1.1 MCU的发展及应用

MCU(Micro Controller Unit)中文名称为微控制单元,又称单片微型计算机(Single Chip Microcomputer)或者单片机,顾名思义就是把全部的计算机系统集成到一块芯片中。微处理器MPU(Micro Processing Unit)就是微型计算机的中央处理器CPU(Central Processing Unit),MCU一般以某一种MPU内核为核心芯片,它采用了超大规模机场电路技术,将中央处理器中的各功能部件集成在同一块芯片上,这也是它和其他计算机的主要区别。它的微处理器包含计算机体系结构中的运算器和控制器,是构成微型计算机的核心部件。随着超大规模集成电路技术的发展和应用,微处理器中所集成的部件越来越多,除运算器、控制器外,还有协处理器、高速缓冲储存器、接口和控制部件等。

微处理器自1970年问世以来,在短短几十年的时间里,以极快的速度发展,初期每隔二到三年就要更新一代,现在则不到一年更新一次。1976年9月INTEL 公司推出了8084MCU,属于8位MCU,不带串行I/O口,片内RAM、ROM容量也不大,只适用于简单的工业控制和比较简单的数字化仪表。1980年INTEL公司推出了比8084系列功能更为优秀的8位MCU,即8051。1982年MOSTEK公司首先发布了第一个16位MCU68200。1989年MOTOROLA公司推出了准32位MCU 68300。1991年MOTOROLA公司推出了32位MCU MC6833IFC。同年,INTEL公司推出了采用RISC技术设计的16位MCU A80960KA和32位MCU 809600。1992年之后,世界上许多公司都陆续推出了采用RISC技术设计的32位MCU、64位MCU。RISC技术在MCU的设计中发展迅速并且日趋成熟。在国内,4位和8位MCU的设计技术已经成熟,16位和32位MCU也在逐步发展。

目前,MCU的发展有两种趋势:一种趋势是向高性能处理器和多位数MCU发展,另一种方向是发展性价比高的快速高效低位数MCU。能代表多位数MCU的是32位MCU,而快速高性能低位数MCU中,应用面最广、发展最快的就是8位MCU。

按照MCU的特点及性能,MCU的应用范围包括了,工业测控系统,例如构成各种不太复杂的工业控制系统、自适应控制系统、数据采集系统等, 达到测量与控制的目的。智能仪表的开发,促进仪表向数字化、智能化、多功能化、综合化、柔性化方向发展。机电一体化技术,使传统机械产品结构简化, 控制智能化。智能接口方面,在计算机控制系统, 特别是在较大型的工业测、控系统中。一般情

况下,设计者为了提高系统的运行速度,常常应用MCU控制和管理各种智能化接口。在民用智能化产品中,如在家用电器、智能手机、PDA终端、影音设备、ATM 机、医疗设备、物联网应用设备等许多产品中, 使用了MCU控制设备, 不仅使产品的价格成本大幅度降低,使用性能相比以前也有了较大改善,并且获得了良好的使用效果。MCU在现代流行的视频会议中也起到核心领导的作用,通过MCU 设备给下面终端设备设置好权限属性就可以组建一个完整的视频会议网络。

目前,中国的单片机应用经历了二十余年的发展历程,随着嵌入式系统逐渐深入社会生活各个方面,单片机的发展和应用也有从传统的8位处理器平台向32位高级RISC处理器平台转变的趋势,可是8位和16位机依然难以淘汰。

现今市场上流行的典型的8位微处理器,与传统的8位MCU相比,是由VHDL 实现的软处理器IP Core,可以在各种FPGA上实现,设计灵活方便。因此,这些MCU将在基于可编程逻辑的应用领域中发挥积极的作用。由于其具有较高的处理性能和较少的资源占用,故具有更加广泛的应用前景。

1.2 MCU的特点

MCU相对于其他设备有很多优点。在系统集成度方面,相比早期的微型计算机,MCU设备的集成度高,由于电子技术,特别是大规模集成电路技术的发展,系统所需的基本模块已经可以集成在一个芯片上,并且功能大为增强。现在的MCU 器件一般采用把处理器、存储器以及I/O接口等部件集成在一个芯片上。因为MCU器件的内部已经集成了上述的进行控制所需的基本功能模块,所以只要连接少数的外部模块,甚至不需要任何外部模块,MCU就可以独立完成控制工作。

在抗干扰性方面,MCU的系统运行可靠,抗干扰能力也相当优秀,因为采用了先进的大规模集成电路的加工工艺,系统的各个部件都集成在一个芯片上,这样由于系统布线都是纳米级的,所以不易受到外部信号的干扰。

现在的MCU设计和生产流程中都相继使用了纳米级的互补金属氧化物半导体(即CMOS)工艺,这种加工工艺制作出的芯片都具有功耗小的特点,应用这种工艺生产出的MCU充分发挥了其低功耗的特点。另外,现在的MCU系统中都设置有看门狗等节电系统,这样软硬件交叉火力,可以使MCU的功耗降至最低。

由于MCU在市场上的广泛普及,许多公司都把目光转向了与其配套的第三方软件开发,这些第三方公司提供的开发软件和工具操作简单,适合初学者和中小型

公司以及高校的技术研发。

由于MCU的设计和生产应用了先进的大规模集成电路技术,使其在大量生产时的硬件成本非常低,和其他设备相比,MCU的性能价格比具有相当的优势。1.3 MCU的分类

MCU的种类有很多,根据其设计方法,可以分成通用型和专用型两种。通用型MCU的种类很多,例如大家熟知的AT89C51等,都是具有相类似的通用控制功能。通用型MCU的位数从4位到32位64位都有相应的应用领域。由于4位MCU的性能太低,所以基本已经淡出市场。8位MCU的硬件成本低、便于开发,并且其性能可以满足大多数的控制要求,所以目前8位MCU依然是市场的主流。而16位或者16位以上的MCU处理数据的速度快,性能优秀、可靠,所以这些MCU主要被应用于军事、航天等高科技领域。

专用型MCU,是为了某种特定的目的而设计的MCU。其主要的特点是体积相比于通用型MCU更小,功耗更低,可靠性及保密性都有增强。专用型MCU的应用领域主要包括智能仪表,家用电器,智能手机等。

现在我国的MCU产业正值发展旺盛时期,更新换代速度非常快,相信在不久的将来,位数更高,运算速度更快,成本更低的新型MCU将会层出不穷。

2 FPGA的基本原理

2.1 EDA概述

EDA是电子设计自动化(Electronic Design Automation)的缩写,是以计算计算技术和微电子技术为先导的。它汇集了计算机图形学、拓扑学、逻辑学、为电子工艺与结构学和计算机数学等多种计算机应用学科最新成果的先进技术。

在电子设计自动化出现之前,设计人员必须手工完成集成电路的设计、布线等工作,这是因为当时所谓集成电路的复杂程度远不及现在。工业界开始使用几何学方法来制造用于电路光绘(photoplotter)的胶带。到了1970年代中期,开发人应尝试将整个设计过程自动化,而不仅仅满足于自动完成掩膜草图。第一个电路布线、布局工具研发成功。

EDA是在20世纪90年代初,从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是以计算机为工具,设计者在EDA软件平台上用硬件描述语言HDL完成设计文件,然后由计算机自动的完成逻辑编译、化简、分割、综合、优化、布局、布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。利用EDA技术进行电子系统设计,具有如下特点:用软件的方式进行硬件设计、用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的、设计过程中可用有关软件进行各种仿真、系统可现场编程,在线升级、整个系统可集成在一个芯片上,体积小,功耗低,可靠性高。因此,EDA技术是现代电子设计的发展趋势。

EDA开发工具主要包括编辑器、仿真工具、检查/分析工具和优化/综合工具等。其中,编辑器用来对设计输入进行图形或者文本等方面的编辑操作;仿真工具是用来完成设计仿真操作的EDA开发工具,主要包括逻辑仿真工具和时序仿真工具;检查/分析工具用来对设计的逻辑产生可能性、电路的电气特性以及时序关系等进行检查和分析;优化/综合工具用来把一种硬件描述转化为底层描述,在转化的过程中伴随着设计的某种优化。现在,高级的EDA开发工具都是一种集成

的开发环境,即集成了上述的所有开发工具,这样就可以用一种集成开发环境来完成所有的设计工作。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

今天,EDA技术已经成为了电子设计的重要工具,无论是设计芯片还是设计系统,如果没有EDA工具的支持,都将是难以完成的。EDA工具已经成为了现代电路设计师的重要武器,正在发挥着愈来愈重要的作用。

2.2 FPGA 的结构特点

作为一种可编程逻辑器件,FPGA(Field Programmable Gate Array)即现场可编程门阵列的出现是可编程逻辑器件发展变化的必然结果,它的出现推动着可编程逻辑器件的进一步发展。

FPGA的电路结构基于查找表(Look Up Table,LUT)加寄存器的结构,由若干独立的可编程逻辑模块组成。FPGA的基本结构由可编程逻辑单元、可编程I/O 单元和编程连线资源组成,如图2.1。由于这些模块的排列形式和门阵列(Gate Array)形式相似,所以被称为现场可编程门阵列。查找表本质上就是一个RAM。目前FPGA中多使用四输入的LUT,所以每一个LUT可以看成一个有4位地址线的16×1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,FPGA 开发软件会自动计算逻辑电路的所有可能结果,并把结果事先写入RAM。这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。

图2.1

FPGA

的可编程逻辑单元一般由查找表和寄存器构成。查找表结构的核心是×1位SRAM,用×1位SRAM存储一个N输入组合逻辑函数的真值

表,其输出即为所期望的组合逻辑;可编程逻辑单元的寄存器可以配置为触发器或锁存器。因而,用查找表和寄存器的组合可以实现任意组合逻辑和时序逻辑的设计。

FPGA配置了丰富的连线资源,连线分布于FPGA内部所有单元。这些连线资源根据工艺、长度、宽度和分布位置的不同而被划分为不同的级别,分别是全局性的专用连线资源、长线连线资源,短线连线资源。除上述连线资源外,在基本可编程逻辑单元内部,还有各种各样的连线资源和控制信号线。

FPGA的可编程I/O单元是用来实现可编程逻辑单元与I/O引脚的互连,以及不同电气特性下对输入/输出信号的驱动和匹配。FPGA的可编程I/O单元支持的电气连接有PCI、LVTTL、LVMOS、LVDS、SSTL、CTT、HSTL和LVPECL等。

除了上述构成FPGA基本结构的三种资源以外,随着工艺的进步和应用系统需求的发展,一般在FPGA中还可能包含以下可选资源:存储器资源;数字时钟管理单元;算数运算单元以及一些特殊功能模块。

2.3 FPGA 的设计方法及流程

2.3.1 FPGA的设计方法

FPGA的设计方法包括“自顶向下”和“自下向上”。目前大规模FPGA设计一般采用“自顶向下”的设计方法。自顶向下的设计方法,就是设计者先从整体上设计整个系统的功能,然后对整个系统的模块进行划分,把原来电路逻辑复杂的模块划分成功能更单一,电路结构更简单的小型模块。再把这些小型的模块根据设计意图连接起来,达到设计的目的。而且,如果设计者认为划分出来的小模块还没有达到

采用可完全独立于芯片厂商及其产品结构的描述语言,在功能级对设计品进行定义,并结合功能仿真技术,以确保设计的正确性,在功能定义完成后,利用逻辑综合技术,把功能描述转化为某一具体结构芯片的网表文件,输出给厂商的布局布线器进行布局布线。布局布线结果还可以反标回同一仿真器,进行包括功能和时序的后验证,以保证布局布线所带来的门延时和线延时不会影响设计的性能。“自顶向下”的设计方法的优越性是显而易见的。首先,由于功能描述可完全独立于芯片结构,在设计的最初阶段,设计师可不受芯片结构的约束,集中精力进行产品设计,进而避免了传统设计方法所带来的重新再设计风险,大大缩短了设计周期。

2.3.2 SOPC技术概述

随着微电子技术和计算机技术的发展,可编程逻辑器件的复杂度已经能够在单个可编程逻辑器件上实现整个系统,或者说将一个完整产品的功能集成在一个芯片或芯片组上,这就是片上系统SOC(System On Chip)。SOC中可以包括微控制器MCU、数字信号处理器DSP、存储器RAM、ROM、Flash、总线和总线控制器、外围设备接口等,还有其他必要的数模混合电路,甚至传感器等。

SOC技术已经成为半导体行业的技术主流,由于它的设计周期长,设计成本高,中小企业和研究所、大专院校难以研究和使用这种系统。由于FPGA技术的不断发展,人们开始关注基于FPGA的可重构SOC系统解决方案设计,这就是SOPC技术。通过SOPC技术,可以很快的将硬件系统,包括微处理器、存储器、外设以及用户逻辑电路等软件设计都放在一个可编程的芯片中,以达到系统的IC设计。这种设计方法具有开发周期短以及系统可修改等优点。

2.3.3 FPGA的设计流程

FPGA开发采用的是一种高层次设计方法,这是一种“自顶向下”的方法,适应了当今芯片开发的复杂程度提高、上市时间紧迫的特点。

这种设计方法首先从系统设计入手,在顶层进行功能方框的划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作上的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

a)设计输入

设计输入包括使用硬件描述语言HDL、状态图与原理图输入三种方式。HDL设计方式是现今设计大规模数字集成电路的良好形式,除IEEE标准中VHDL与Verilog HDL两种形式外,尚有各自FPGA厂家推出的专用语言,如Quartus下的AHDL。HDL语言描述在状态机、控制逻辑、总线功能方面较强,使其描述的电路能特定综合器(如Synopsys公司的FPGA Compiler II或FPGA Express)作用下以具体硬件单元较好地实现;而原理图输入在顶层设计、数据通路逻辑、手工最优化电路等方面具有图形化强、单元节俭、功能明确等特点,另外,在Altera 公司Quartus软件环境下,可以使用Momory Editor对内部memory进行直接编辑置入数据。常用方式是以HDL语言为主,原理图为辅,进行混合设计以发挥二者各自特色。

通常,FPGA厂商软件与第三方软件设有接口,可以把第三方设计文件导入进行处理。如Quartus与Foundation都可以把EDIF网表作为输入网表而直接进行布局布线,布局布线后,可再将生成的相应文件交给第三方进行后续处理。

b)设计综合

综合,就是针对给定的电路实现功能和实现此电路的约束条件,如速度、功耗、成本及电路类型等,通过计算机进行优化处理,获得一个能满足上述要求的电路设计方案。也就是是说,被综合的文件是HDL文件(或相应文件等),综合的依据是逻辑设计的描述和各种约束条件,综合的结果则是一个硬件电路的实现方案,该方案必须同时满足预期的功能和约束条件。对于综合来说,满足要求的方案可能有多个,综合器将产生一个最优的或接近最优的结果。因此,综合的过程

也就是设计目标的优化过程,最后获得的结构与综合器的工作性能有关。

c)仿真验证

从广义上讲,设计验证包括功能与时序仿真和电路验证。仿真是指使用设计软件包对已实现的设计进行完整测试,模拟实际物理环境下的工作情况。前仿真是指仅对逻辑功能进行测试模拟,以了解其实现的功能否满足原设计的要求,仿真过程没有加入时序信息,不涉及具体器件的硬件特性,如延时特性;而在布局布线后,提取有关的器件延迟、连线延时等时序参数,并在此基础上进行的仿真称为后仿真,它是接近真实器件运行的仿真。

d)设计实现

实现可理解为利用实现工具把逻辑映射到目标器件结构的资源中,决定逻辑的最佳布局,选择逻辑与输入输出功能连接的布线通道进行连线,并产生相应文件(如配置文件与相关报告)。通常可分为如下五个步骤。

转换:将多个设计文件进行转换并合并到一个设计库文件中。

映射:将网表中逻辑门映射成物理元素,即把逻辑设计分割到构成可编程逻辑阵列内的可配置逻辑块与输入输出块及其它资源中的过程。

布局与布线:布局是指从映射取出定义的逻辑和输入输出块,并把它们分配到FPGA内部的物理位置,通常基于某种先进的算法,如最小分割、模拟退火和一般的受力方向张弛等来完成;布线是指利用自动布线软件使用布线资源选择路径试着完成所有的逻辑连接。因最新的设计实现工具是时序驱动的,即在器件的布局布线期间对整个信号通道执行时序分析,因此可以使用约束条件操作布线软件,完成设计规定的性能要求。在布局布线过程中,可同时提取时序信息形成报靠。

时序提取:产生一反标文件,供给后续的时序仿真使用。

配置:产生FPGA配置时的需要的位流文件。

在实现过程中可以进行选项设置。因其支持增量设计,可以使其重复多次布线,且每次布线利用上一次布线信息以使布线更优或达到设计目标。在实现过程中应设置默认配置的下载形式,以使后续位流下载正常。

e)时序分析

在设计实现过程中,在映射后需要对一个设计的实际功能块的延时和估计的布

线延时进行时序分析;而在布局布线后,也要对实际布局布线的功能块延时和实际布线延时进行静态时序分析。从某种程序来讲,静态时序分析可以说是整个FPGA设计中最重要的步骤,它允许设计者详尽地分析所有关键路径并得出一个有次序的报告,而且报告中含有其它调试信息,比如每个网络节点的扇出或容性负载等。静态时序分析器可以用来检查设计的逻辑和时序,以便计算各通中性能,识别可靠的踪迹,检测建立和保持时间的配合,时序分析器不要求用户产生输入激励或测试矢量。

f)下载验证

下载是在功能仿真与时序仿真正确的前提下,将综合后形成的位流下载到具体的FPGA芯片中,也叫芯片配置。FPGA设计有两种配置形式:直接由计算机经过专用下载电缆进行配置;由外围配置芯片进行上电时自动配置。因FPGA具有掉电信息丢失的性质,因此可在验证初期使用电缆直接下载位流,如有必要再将烧录配置芯片中。使用电缆下载时有多种直载方式,对于Altera公司的FPGA可以选择JTAG方式或Passive Serial方式。因FPGA大多支持IEEE的JTAG标准,所以使用芯片上的JTAG口是常用下载方式。

如图2.2为FPGA的设计流程图。

图2.2

2.4 VHDL概述

1981年,工作小组在美国国防部组织下正式成立,不久提出一种新的硬件描述语言,即VHDL(VHSIC Hardware Description Language,甚高速集成电路硬件描述语言)提出这一语言的目标只是使电路文本化成为标准,目的是为了使文本描述的电路设计能够为其他人所理解,同时也可以作为一种模型语言并能够通过软件进行仿真。

如今,大多数的EDA工具都采用VHDL来作为主要的硬件描述语言,这主要源于VHDL强大的自身功能和特点。下面,来讨论一下VHDL的特点。

a)具有强大的描述能力

VHDL既可描述系统级电路,也可以描述门级电路;既可以采用行为描述、寄存器传输描述,也可以采用三者的混合描述方式;同时它也支持惯性延迟和传输延迟,可以方便的建立电子系统的模型。VHDL强大的描述功能主要来自于强大

的语法结构和丰富的数据类型。

b)具有共享和复用的能力

VHDL采用给予库的设计方法。库中可以存放大量预先设计或者以前项目设计中曾经使用过的模块,这样,设计人员在新项目设计的过程中,可以直接复用这些功能模块从而大大减少了工作量,缩短了开发周期。由于VHDL是一种描述、仿真、综合、优化和布线的标准硬件描述语言,因此它可以使电子系统设计成果在各个公司、团体或者设计人员之间进行交流和共享。

c)具有独立于器件和工艺设计的能力

VHDL允许设计人员生成一个设计并不需要首先选择一个用来实现设计的器件;对于一个相同的设计描述,设计人员实际上可以采用不同的器件结构来实现设计描述的功能。同样,如果设计人员需要对设计进行资源利用和性能方面的优化,这时也不要求设计人员非常熟悉器件的内部结构。

同理,设计人员在进行设计时,往往也不会涉及到与工艺有关的信息。当设计人员对一个设计描述进行完编译、仿真、和综合后,可以通过采用不同的映射工具将设计映射到不同的工艺上去。

d)具有良好的可移植能力

VHDL的可移植能力体现在:对于同一个设计描述,它可以从一个仿真工具移植到另一个仿真工具进行仿真;可以从一个综合工具移植到另一个综合工具进行综合;可以从一个操作平台移植到另一个操作平台执行。VHDL的可移植性源于它是一种标准化的硬件语言,因此同一个设计描述可以被不同的工具所支持。2.5 Quatus II概述

Quartus II是Altera公司推出的新一代FPGA/CPLD开发软件,适合于大规模复杂的逻辑电路设计。它是Altera公司推出的第四代可编程逻辑器件集成开发环境。Quartus II为设计者提供了从设计输入到器件编程的所有功能。Quartus II设计软件增加了网络编辑功能,提升了调试能力,解决了潜在的设计延迟,为其他EDA工具提供了方便的接口。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

Quatus II软件能使用户大幅缩短开发周期,支持绝大部分Altera公司的FPGA/CPLD,有强大的整套设计及调试工具,是目前使用最广泛的Altera设计软件。

3 MCU的设计总体思路

3.1 MCU的总体结构设计

本次毕业设计的目的是设计一个功能十分简单的16位MCU。考虑到本次论文要设计的MCU系统并不是完整的单片机系统,只有简单的加减法及简单的逻辑运算,所以将设计的重点放在MPU的设计上,重点考虑数据通路以及控制通路。MCU 系统的总体构架如图3.1。

图3.1

3.2 MCU的设计工具与流程

本次毕业设计是遵循自顶向下的设计方法,使用VHDL硬件编程语言对设计进行编程。整个MCU系统的源码是在Altera公司的QuartusII 11.0集成软件开发平台完成的。时序仿真和功能仿真是在Modelsim仿真工具下完成的。

首先,根据MCU系统的总体设计思路,用VHDL硬件描述语言对整个系统的所

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的液晶显示设计毕业设计论文

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的MCU设计毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

通信工程专业毕业设计题目列举

通信专业毕业设计1视频编码算法AVS 2视频编码算法H.264 3嵌入式操作系统Android移植 4以太网控制器的FPGA实现 5手持式读卡器设计,手持式打印机设计 1基于超球支持向量机的语音识别系统 2支持向量机与纠错编码结合用于多类分类 3基于双极性的二重水印算法 4多功能健身计步鞋设计 5语音情感识别的研究 6 CATV 网络HFC系统NEC模块的应用 7 CATV 网络HFC系统NXP模块的应用 8 CATV 网络HFC系统RFMD模块的应用 9 FTTH 网络中数显AGC光接机原理及设计 10 FTTH 网络中楼栋光接收机 1.智能化医疗诊断系统的设计与实现 2.多媒体数据压缩方法研究

3.多媒体数据压缩方法研究 4.移动衰落信道随机信道模型的建模与实现 5.移动衰落信道确定性信道模型的建模与实现 6.信道估计技术研究 1.基于谱减法的语音增强及DSP实现 2.基于子空间的语音增强算法的研究 3.噪声估计算法及Matlab实现 4.基于小波变换的语音增强算法 5.基于ICA的语音增强及Matlab实现 6.高速公路上的3G信号覆盖的研究 7.基于卡尔曼滤波的语音增强及实现 8.基于短时谱的语音增强算法的研究及Matlab实现 1、基于GSM网络的汽车防盗系统 2、电子标签销码器的设计 3、语音报站器的设计与实现 4、基于Matlab的通信系统的设计与实现 5、基于Matlab的维纳滤波器设计与实现 6、基于Matlab的数字信号仿真 1.音频数字水印技术研究及MATLAB实现

2.图像数字水印技术研究及MATLAB实现 3.灰度直方图特征提取算法及其在医学图像分析中的应用4.基于DSP的数字图像直方图均衡化增强算法研究 5.基于多尺度小波的图像增强算法研究 6.基于维纳滤波的图像增强算法研究 一、身份认证服务器认证服务模块开发 二、身份认证服务器认证配置管理模块开发 三、身份认证服务器客户端插件开发 四、身份认证服务器认证插件(Agent)开发 五、基于蓝牙的手机KEY双因子认证系统研究 六、基于WIFI的手机KEY双因子认证系统研究 1.医院放射科信息管理系统(RIS)需求分析与系统设计2.非DICOM图像格式DICOM转换方法 3.医学影像中人体器官边缘检测 4.方向纹理图像轮廓识别的预处理方法 5.基于可视化工具软件VTK的三维型体绘制方法 6.网上银行身份认证技术安全性分析 1、信息化机房动力环境监测监控系统-风流分析 2、信息化机房动力环境监测监控系统-电力保障

电子信息工程毕业设计题目大全

1 压力容器液位测量 2 多功能遥控小车 3基于RS232的仓库多点温度、湿度、气 4压检测系统 5自动控制升降旗系统 6基于RS485的温度报警系统 7基于模糊算法的水温控制系统的设计 8多分机电话交换机 9简易火灾自动报警系统 10基于单片机的电话智能控制器 11电子称 12红外线自动调光台灯 13红外触摸屏 14电子抢答器 15滚动式广告窗控制 16家庭无线控制红外光栅报警系统 17用单片机控制的多路测温测控仪 18函数信号发生器 19基于模糊PID的注塑机温度控制系统 20基于单片机的数字人体心率计的设计与实现 21基于状态机的语音电子密码锁设计 22多功能语音提醒器 23智能窗帘 24数控直流稳压电源的设计 25数控恒流源设计(或波形发生器) 26校园时间作息系统 27单片机方向 (信号发生器或教室灯光管理系统) 28温、湿度测量毫欧表 29全数字控制稳压电源 30基于FPGA的多波形发生器 31基于单片机控制的家庭电表 32基于单片机的温度测试系统在温室中的设计与实现33无线遥控电压值的多档变换 34固定电话来电显示记录系统 35遥控密码锁的设计 36基于AT89S52单片机的数字电压表的设计 37基于单片机AT89S52的数字温度计设计 38基于单片机的多功能数字钟(改后的题目) 39电子密码锁的设计 40基于单片机的交通灯控制系统 41数字存储示波器 42基于DSP的指纹识别 43LCD数据截取及图像合成装置的设计与实现

44程控开关电源 45基于MATLAB的FIR滤波器设计 46语言特征参数提取及识别 47基于小波分析的语言信号去噪 48基于MATLAB的IIR滤波器的设计与仿真 49基于MATLAB的频谱分析和信号去噪 50数字语言识别技术探讨与发展预测 51简易数字存储示波器 52声控处理技术应用于语音存储回放系统的研究 53智能交直流电压表 54基于超声波检测的倒车雷达设计 55数字式直流电机调速控制系统数控恒流源 用SPCE061A实现简易电子书的设计 基于AVR单片机的通用智能充电器的设计 单片机无线呼叫系统的设计 一种用烟雾感应和湿度感应来控制的自动开关窗的设计 光控路灯的设计 循环码编码译码仿真研究 基于单片机控制的数字气压计 基于单片机的锂离子电池充电器的设计 基于FPGA实现可扩展高速FFT处理器的研 基于GPS公交陈报站监控系统开发 基于GSM短消息的远程数据采集传输系统 基于GSM短信模块的家庭防盗报警系统 基于GSM短信模块的家庭防盗报警系统 基于HS3的虚拟示波器 基于IC卡的数据据采集系统 基于IC卡的数据据采集系统--现场数据采集系统基于Linux的嵌入式操作系统研究基于MSTP多业务节点平台设计与实现 基于nRF24E1无线耳机的设计 基于PC机的智能抢答器 基于PIC16F877A单片机温度监控系统的设计 基于PLC的化肥袋装控制系统 基于PLC技术工厂污水处理系统 基于ProE鼠标上盖制品及模具的三维造 基于uClinux的GPS车载导航系统设计与基于USB总线的高速数据采集系设计 基于Windows CE的智能家居安防统 基于Winsock操作系统上的网程 基于单片机的FTU测控 基于单片机的创新出租车计价器 银行排队叫号系统的设计 基于labview的虚拟滤波器的设计 基于单片机的语音播报温度湿度系统的设计

基于FPGA的音频处理系统设计(毕业设计开题报告)

基于FPGA的音频处理系统设计 1 课题来源: 随着数字记录技术和大规模集成电路技术的迅速发展,消费类电子产品正以日新月异的新姿展现在当代人的面前,音响类娱乐产品的多样化、小型化与数字化及品种的琳琅满目丰富了音响产品市场,满足了多层次消费者的不同需要。在这些科技产品的快速发展过程中,数字音频技术在其中扮演着重要的角色。 现在音频处理技术的任务越来越复杂,对信号处理的效果要求不断提高,音频处理技术的算法也越来越复杂,要求在几十ms甚至几ms的时间内完成音频信号大量的数据采集、处理、存储、传输,这就对音频处理系统处理器的运算速度提出了更高的要求。 2 研究的目的和意义: 随着消费电子的快速发展,数字音频技术的应用显得越来越重要,对数字音频技术的研究符合市场与科技需求。数字音频处理技术涉及生活的方方面面,包括滤波器技术、数字信号处理、人工智能、模式识别、编码学、等多个学科的知识,是信息化技术类学科当中发展极为迅速的一个方向之一。音频信号处理技术包含的内容非常多,主要有信号存储、语音合成、语音识别、音频压缩、语音理解、音频编码、语音识别、语音增强等多个分支,总而言之,音频信号处理技术包括音频信号的数字化处理、数字化实现、数字化变换、数字化存储、数字化传播、及音频的变换、语音的处理、语音的识别等自然科学多个领域的综合运用。 传统的数字滤波器采用乘法和累加结构,需要进行多次的乘法和加法运算。由于乘法器庞大的结构,占用了系统芯片上的大部分面积,消耗了大部分功率,使得音频处理系统在体积和处理速度上存在着不足,所以传统的数字滤波器不能很好的满足家用和便携式音频处理器对体积小、功耗小信号处理速度高的要求。而近些年来使用范围越来越广泛,技术越来越成熟的FPGA器件对于解决对于解决音频信号的高标准、高要求有着其独特的优势。基于FPGA器件的音频信号处理的实现方案,在于对声音信号的收集、处理及应用,工作的重点是在噪声环境中如何

基于FPGA相关的毕设论文文献翻译

2009年国际信息和多媒体技术会议 基于FPGA的数字调频调制解调器 Indranil Hatai 电子和电气通信工程 印度理工学院kharagpur - 721302,印度indranilh@cse.iitkgp.ernet.in Indrajit Chakrabarti 电子和电气通信工程 印度理工学院kharagpur - 721302,印度indrajit@ece.iitkgp.ernet.in 摘要:本文介绍了一款高性能可编程数字调制解调器,这款调制解调器是基于FPGA实现的,主要用于软件无线电应用程序方面。该设计具有可重复编程、面积优化和低功耗等特点。这款调制器和解调器包含一个可直接压缩的数字合成器(DDS),可生成的载波频率的自由动态范围超过了70分贝。解调器是在数字锁相环(DPLL)技术的基础上实现。同样地,DDS也被用来产生调制解调的载波信号。文中所提及的调频调制解调器已经在Virtex2Pro实验板上进行了实现和测试。所实现的调频调制解调器可以运行的最大频率达到103 MHz,而占用的门阵列资源等效到XC2VP-30系列FPGA开发板上仅有8K大小。 关键字:FM SDR FPGA DPLL DDS I.简介 频率调制/解调技术被广泛应用于(PMR)标准下的DAB-T和私人移动无线电方面。传统的模拟调频主要是用来完成音频广播。但在模拟调频调制方案使用压控振荡器(VCO)时,困难出现了。任何音频广播中最主要考虑的问题是音频或声音的清晰度问题。由于线性的VCO工作在所要求的频率范围内时,性能出现了明显降低,使用VCO很难获得一个清晰的调频调制和解调信号。因此,基于数字技术实现调频调制方案的发展逐渐取代了传统的模拟调制。现在通过数字调频调制器架构,能够实现对任何音频声音都能具有优越的性能和良好的清晰度,这样的广播系统方案被广泛应用起来。 为了确保在整个频率范围内的线性,设计师通常采用DDS技术来替换VCO,因此这种控制也被称为数控振荡器(NCO)。目前,此项研究已经基于不同的数字调制解调器架构来开展了。而且其中的某些还突出了一些特色,如减少由于在进出DDS时的数码分辨率问题而引起的失真量化噪声的影响。他们中的一些人还讨论了有关区域优化和低功率消耗等性能方面的问题。就目前而言,基于FPGA载体,实现支持SDR的音频广播系统,同时兼具低功耗和低占有率特色的数字调制解调器已经成型。 基于不同调频架构体系的解调器的研究工作正不断将调频系统集成化,但是他们中的大多数是对模拟信号的处理,且处理精度有限。准确地识别当前信号频率与调频调制信号的中心频率微小的频率偏移是FM解调技术的关键问题。PLL 锁相环技术正是最常用的调频信号解调技术之一。锁相环可以跟踪信号相位和频

相关文档
相关文档 最新文档