文档库 最新最全的文档下载
当前位置:文档库 › 计算机设计与实践MIPS基本指令

计算机设计与实践MIPS基本指令

计算机设计与实践MIPS基本指令
计算机设计与实践MIPS基本指令

MIPS 基本指令和寻址方式:

MIPS 是典型的RISC 处理器,采用32位定长指令字,操作码字段也是固定长度,没有专门的寻址方式字段,由指令格式确定各操作数的寻址方式。

MIPS 指令格式一般有三种格式: R-型指令格式 I-型指令格式 J-型指令格式

R _Type 指指指指

26

21

16

11

6

31

OP : 操作码

rs : 第一个源操作数寄存器

rt : 第二个源操作数寄存器(单目原数据) rd : 结果寄存器 shamt :移位指令的位移量 func : 指令的具体操作类型

特点:R-型指令是RR 型指令,其操作码OP 字段是特定的“000000”,具体操作类型由func

字段给定。例如:func=“100000”时,表示“加法”运算。

R[rd] ← R[rs] + R[rt]

I _Type 指

指指指

26

21

1631

15

特点:I-型指令是立即数型指令

双目运算: R[rt] R[rs](OP )SignExt(imm16) Load 指令:

Addr ← R[rs] + SignExt(imm16) 计算数据地址 (立即数要进行符号扩展) R[rt] ← M[Addr] 从存储器中取出数据,装入到寄存器中

Store 指令:

Addr ← R[rs] + SignExt(imm16) M[Addr] ← R[rt]

J _Type 指令格式

2631

6bit

26bit

25

特点:J-型指令主要是无条件跳转指令,将当前PC 的高4位拼上26位立即数,后补两个“0”,

作为跳转目标地址。

j L //goto L 指指指指指指指指指

jal L //$ra 指PC+4;goto L 指指指指指指指指指

R 型指令:

定点运算: add / addu , sub / subu , sra , mult/multu , div/divu 逻辑运算: and / or / nor , sll / srl 比较分支: beq / bne / slt / sltu 跳转指令: jr

I 型指令:

定点运算: addi / addiu 逻辑运算: andi / ori 比较分支: slti / sltiu

数据传送: lw / sw / lhu / sh / lbu / sb / lui

J 型指令: j / jal

设计模块划分,教学安排

1、MIPS格式指令系统设计

2、指令存储器设计

3、寄存器堆设计

4、ALU设计——基本算术、逻辑单元的设计

32位超前进位加法器的设计

32位桶式移位寄存器的设计

5、取指令部件的设计

6、立即数处理单元设计

7、单周期处理器设计——R型指令的数据通路设计

I型指令的数据通路设计

Load/Store指令的数据通路设计

分支指令/转移指令的数据通路设计

综合12条指令的完整数据通路设计

8、ALU控制单元设计

9、主控制单元的设计

10、单周期处理器总体验证

11、异常和中断处理及其电路实现

12、带有异常和中断处理功能的处理器的设计

设计示例1:指令存储器设计

1、 指令存储器模块定义:

指令存储器用于存放CPU 运算的程序指令和数据等,采用单端口存储器设计,设计最大为64个存储单元,每个存储单元数据宽度为32bit 。下图为指令存储器的模块框图。

module ExtMem

图1 模块框图

表1: 存储器接口信号说明表

ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr Valid

Valid

ExtMem_Din

ExtMem_CS

图2 存储器接口读时序框图

ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr Valid Valid

ExtMem_Dout

ExtMem_CS

图3 存储器接口写时序框图

Valid ExtMem_Dout

ExtMem_CLK ExtMem_WR ExtMem_RD ExtMem_Adr

Valid Valid

ExtMem_Din ExtMem_CS Valid

图4 存储器接口读写时序框图

2、 设计电路源代码

Module ExtMem ( input ExtMem_CLK,

input ExtMem_CS, input [5:0] ExtMem_Adr, input ExtMem_WR, input ExtMem_RD, input [31:0] ExtMem_Din, output [31:0] ExtMem_Dout );

reg [31:0] Memory [0: 63] ; //---存储器写操作

always @( posedge ExtMem ) begin

if (~ExtMem_CS & ~ExtMem_WR ) Memory[ExtMem_Adr]<= ExtMem_Din; end

//---存储器读操作方式1 always @( posedge ExtMem )

begin

if (~ExtMem_CS & ~ExtMem_RD ) ExtMem_Dout<= Memory[ExtMem_Adr];

end

//---存储器读操作方式2

always @( * )

begin

if (~ExtMem_CS & ~ExtMem_RD ) ExtMem_Dout<= Memory[ExtMem_Adr];

end

endmodule

问题讨论:

//------方式1与方式2的区别?

//------ altera公司的FPGA芯片,使用其内部存储器IP必须采用方式1设计

//------ xilinx公司的FPGA芯片,使用其内部存储器IP可以采用方式1或方式2设计

3、指令存储器初始化值设计

在仿真环境下,在设计电路中添加如下初始化存储器值电路:

initial

begin

#0 Memory[6'h0]<=32'h10; Memory[1]=32'h11; Memory[2]=32'h12;

Memory[63]=32'h63;

end

问题讨论:在硬件设计实现方式下,如何给存储器付初值?

4、设计电路仿真

所设计的指令存储器模块电路,采用Active-HDL 8.1ver仿真器工具进行了设计仿真验证,验证结果表明存储器功能以及接口时序完全正确,如下是仿真验证的波形图。附件1是仿真激励源代码。

图5 存储器电路读写仿真波形图5、存在问题及解决方式方法,设计讨论等

XXXXXXXXX

YYYY

ZZZZZZZZZZZ

附件1:

//----------------------------------------------------------//

//Desc:

//----------------------------------------------------------//

//

`timescale 10ps/10ps

module ExtMem_testbench ( );

//---测试信号定义

reg fpga_clk, mem_cs, mem_wr, men_rd;

reg [5:0] mem_addr;

reg [31:0] mem_din;

wire [31:0] mem_dout;

//---存储器模块实例化调用

ExtMem mem_inst( .ExtMem_CLK(fpga_clk),

.ExtMem_CS(mem_cs),

.ExtMem_Adr(mem_addr),

.ExtMem_WR(mem_wr),

.ExtMem_RD(men_rd),

.ExtMem_Din(mem_din),

.ExtMem_Dout(mem_dout) );

//-------------------------------

always #50 fpga_clk<= ~fpga_clk;

initial

begin

#0 fpga_clk=1'h0; mem_cs=1'h1; mem_wr=1'h1; men_rd=1'h1;

mem_addr=6'h00; mem_din=32'h00;

end

initial

begin

#510 mem_cs=1'h0; mem_wr=1'h0; mem_addr=6'h01; mem_din=32'h01;

#100 mem_addr=6'h02; mem_din=32'h02;

#100 mem_cs=1'h1; mem_wr=1'h1;

#100 mem_addr=6'h03; mem_din=32'h03;

#100 mem_cs=1'h0; mem_wr=1'h0;

#100 mem_cs=1'h1; mem_wr=1'h1; mem_addr=6'h04; mem_din=32'h04;

#200 mem_cs=1'h0; men_rd=1'h0; mem_addr=6'h02; mem_din=32'hzz;

#100 mem_addr=6'h03;

#100 mem_cs=1'h1; men_rd=1'h1;

#200 mem_cs=1'h0; men_rd=1'h0; mem_addr=6'h01;

#200 mem_cs=1'h1; men_rd=1'h1;

#100 mem_addr=6'hzz;

end

//----------------------------------------------------//

endmodule

计算机设计与实践 CPU 代码(含19条指令)

时钟管理模块 entity clk_ctrl is port( Clk:in std_logic; Rst:in std_logic; k:out std_logic_vector(3 downto 0) ); end clk_ctrl; architecture Behavioral of clk_ctrl is signal tmp:std_logic_vector(3 downto 0); begin process(Clk,Rst,tmp) begin if Rst ='1' then --rst=1复位; --k<="0000"; tmp<="0001"; elsif Clk='1' and Clk'event then tmp(0)<=tmp(3); tmp(3 downto 1)<=tmp(2 downto 0); end if; end process; k<=tmp; end Behavioral; 取指模块 entity irget is Port ( Rst : in STD_LOGIC;--复位; Pcback : in STD_LOGIC_VECTOR (15 downto 0);--PC回写; Pcbacka : in STD_LOGIC;--PC回写允许; k1 : in STD_LOGIC;--时钟控制; Order : in STD_LOGIC_VECTOR (15 downto 0);--指令 Pcout : out STD_LOGIC_VECTOR (15 downto 0);--PC输出; Orderout : out STD_LOGIC_VECTOR (15 downto 0);--指令输出; AddrFlag : out STD_LOGIC);--访址标志 end irget; architecture Behavioral of irget is

计算机辅助设计的发展与应用

计算机辅助设计的发展与应用 【摘要】计算机辅助设计(CAD)技术作为关键的共性技术.其广泛应用将促使人们思想观念、工作模式、生产方式的转变,由此对世界经济产生的影响,完全可以与十八世纪的工业革命相比拟。我国CAD软件的开发和应用与国外相比,还有较大的距离,但我们相信,随着知识产权意识的不断增强,随着我国改革开放的不断深入,具有自主版权的CAD软件将不断发展,并更加成熟和完善。与此同时,它也必将拥有更大的市场。本文研究了计算机辅助设计的发展与应用 【关键词】计算机;辅助设计;应用 计算机辅助设计CAD是指利用计算机系统辅助完成工程设计的设计绘图、修改、分析、优化和检验的过程。这一概念产生于七十年代,最初是在机械、电子等领域内的实现。随着32位高档微型机的问世,及高分辨率大屏幕显示器等硬件设备的出现,CAD技术进人普及及应用阶段,并且相继出现了CAM(计算机辅助制造)、CAI(计算机辅助教学)等项计算机应用技术。这些技术已引起人们普遍重视,并且开发出了很多实用系统,在生产实践中起到了减轻人类劳动强度和提高工作效率的作用。CAD引人土木工程领域·现在已经应用与规划设计、施工管理等工作中,收到了显著的经济效益。CAD技术已成为缩短生产周期、降低成本、提高工程和产品质量的重要手段。目前国内土建应用软件不断成熟,已广泛应用与工程设计实践中,随着计算机应用技术的发展,CAD正向标准化、集成化、智能化的方向发展,必将更好地为设计服务。 一、CAD技术在工业中的应用 我国早在二十世纪七十年代就已经开展了CAD技术的研究。在八十年代,我国进行了大规模的CAD技术研究和开发。在“九五”计划期间,国家科委将CAD应用作为四大工程之一(先进制造技术、先进信息工程、CIMS工程、CAD应用工程)。 随着科学技术的发展,CAD技术日益成熟,已经广泛应用于工程技术、机械制造等领域,成为一个技术含量密集的产业,特别是在机械、农业、化工、航天、航空、军事、汽车、电力、船舶、建筑等行业中的应用已经较为普遍。CAD系统的应用解决了产品设计数字化问题,影响和改变着工业的各个方面,使传统的产品设计技术及方式发生了深刻的变革,大大提高了设计的质量和效率。CAM系统的应用解决了实际产品的加工制造问题,提高了零部件的加工精度和产品的制造质量。CAD技术的应用,充分发挥了计算机及外围设备的能力,把计算机的高速度、准确性和大存储量与技术人员的思维能力、综合分析能力结合起来,从而大幅提高了生产效率,缩短了产品的研发周期,提高了设计和制造的质量,节约了原材料和能源,加速了产品更新换代,提高了企业的竞争能力。近年来,一批反映时代潮流的商品化CAD软件在我国广泛使用,如Pro/E、CATIA、UG、Cimatron等。另外,国内一些公司开发出了一批具有自主知识产权的CAD/CAM系统,如广州红地技术公司推出的金银花CAD系统、北航海尔公司开发的CAXA系列软件、武汉开目系列软件等。这些系统已具有很强的实用性和先进性,价格大大低于国外软件,进一步促进了CAD技术在我国的应用和发展。 二、计算机辅助设计的发展 1、智能化 要使自己的产品、工程和系统的质量好、成本低、市场竞争力强,就需要用最好的设计、最好的加工和最好的管理,迫切需要总结国内外相关产品、工程和系统设计制造的经验教训,把成功的设计制造经验做成智能设计、智能制造系统去指导新产品、新工艺和新系统的设计制造,才能使自己的产品、工程和系统具有创造性。目前在智能CAD方面主要开展了以下几方面的工作。 2、多学科多功能综合产品设计技术

计算机程序设计实践

江苏科技大学 课程实践报告 设计题目: 计算机程序设计实践(VC++) 设计时间: 2015. 3.9 至2015. 3.16 学院: 数理学院 专业班级: 13级信息与计算科学1班 学生姓名: 陈明敏学号1340501101 指导老师: 华伟 2015年5月1日

一、 实践任务 任务一:试建立一个类SP ,求(,)123k k k k f n k n =++++ ,另有辅助函数power ()用 于求 n m 。具体要求如下。 (1)私有数据成员。 Int n ,k :存放公式中n 和k 的值。 (2)公有成员函数。 ①SP(int n1,int k1):构造函数,初始化成员数据n 和k 。 ②int power(int m,int n):求n m 。 ③int fun():求公式的累加和。 ④void show():输出求得的结果。 二、源程序清单 #include class SP{ private: int n,k; public: SP(int n1,int k1); int power(int m,int n); int fun(); void show (); }; SP::SP(int n1,int k1){ n=n1; k=k1; } int SP::power(int m,int n){ int a=m; for(int i=1;i

计算机原理与体系结构

[模拟] 计算机原理与体系结构 选择题 第1题: 中断响应时间是指(1) 。 A.从中断处理开始到中断处理结束所用的时间 B.从发出中断请求到中断处理结束后所用的时间 C.从发出中断请求到进入中断处理所用的时间 D.从中断处理结束到再次中断请求的时间 参考答案:C 第2题: A.13 B.183 C.193 D.203 参考答案:D 第3题: 在单指令流多数据流计算机(SIMD)中,各处理单元必(3) 。 A.以同步方式,在同一时间内执行不同的指令 B.以同步方式,在同一时间内执行同一条指令 C.以异步方式,在同一时间内执行不同的指令 D.以异步方式,在同一时间内执行同一条指令 参考答案:B 在计算机中,最适合进行数字加减运算的数字编码是(4) ,最适合表示浮点数阶码的数字编码是(5) 。 第4题: A.原码 B.反码 C.补码 D.移码

参考答案:C 第5题: A.原码 B.反码 C.补码 D.移码 参考答案:D 操作数所处的位置,可以决定指令的寻址方式。操作数包含在指令中,寻址方式为(6) ;操作数在寄存器中,寻址方式为(7) ;操作数的地址在寄存器中,寻址方式为(8) 。 第6题: A.立即寻址 B.直接寻址 C.寄存器寻址 D.寄存器间接寻址 参考答案:A 第7题: A.立即寻址 B.相对寻址 C.寄存器寻址 D.寄存器间接寻址 参考答案:C 第8题: A.相对寻址 B.直接寻址 C.寄存器寻址 D.寄存器间接寻址

参考答案:D 第9题: 两个同符号的数相加或异符号的数相减,所得结果的符号位SF和进位标志CF 进行(9) 运算为1时,表示运算的结果产生溢出。 A.与 B.或 C.与非 D.异或 参考答案:D 第10题: 若浮点数的阶码用移码表示,尾数用补码表示。两规格化浮点数相乘,最后对结果规格化时,右规的右移位数最多为(10) 位。 A.1 B.2 C.尾数位数 D.尾数位数-1 参考答案:A 第11题: A.10/70△t

MIPS32指令集

MIPS32指令集 MIPS指令可以分成以下各类: 空操作no-op; 寄存器/寄存器传输:用得很广,包括条件传输在内; 常数加载:作为数值和地址的整型立即数; 算术/逻辑指令; 整数乘法、除法和求余数; 整数乘加; 加载和存储; 跳转、子程序调用和分支; 断点和自陷; CP0功能:CPU控制指令 浮点; 用户态的受限访问:rdhwr和synci 注:64位版本开头以“d”表示,无符号数以“u”结尾,立即数通常以“i”结尾,字节操作以“b”结尾,双字操作以“d”结尾,字操作以“w”结尾 1、空操作:nop:相当于sll zero,zero,o, ssnop: equals sll zero,zero,1. 这个指令不得与其它指令同时发送,这样就保证了其运行要花费至少一个时钟周期。这在简单的流水线的CPU上无关紧要,但在复杂些的实现上对于实现强制的延时很有用。 2、寄存器/寄存器传送: move: 通常用跟$zero寄存器的or来实现,或者用addu。 movf, movt, movn, movz: 条件传送。 3、常数加载: dla、la: 用来加载程序中某些带标号的位置或者变量的地址的宏指令; dli、li: 装入立即数常数,这是一个宏指令; lui: 把立即数加载到寄存器高位。 4、算术/逻辑运算: add、addi、dadd、daddi、addu、addiu、daddu、daddiu、dsub、sub、subu:加法指令和减法指令; abs,dabs:绝对值; dneg、neg、negu:取相反数; and、andi、or、ori、xor、nor:逐位逻辑操作指令; drol、rol、ror:循环移位指令; sll、srl、sra:移位。 5、条件设置指令: slt、slti、sltiu、sltu、seq、sge、sle、sne:条件设置。 6、整数乘法、除法和求余数:

计算机设计与实践——MIPS基本指令

MIPS基本指令和寻址方式: MIPS是典型的RISC处理器,采用32位定长指令字,操作码字段也是固定长度,没有专门的寻址方式字段,由指令格式确定各操作数的寻址方式。 MIPS指令格式一般有三种格式: R-型指令格式 I-型指令格式 J理指令格式 R_Type指指指指 31 26 5bit 6bit OP:操作码 rs:第一个源操作数寄存器 rt: 第二个源操作数寄存器(单目原数据) rd: 结果寄存器 shamt :移位指令的位移量 func:指令的具体操作类型 特点:R-型指令是RR型指令,其操作码0P字段是特定的000000”,具体操作类型由 字段给定。 例如:func= 100000"时,表示加法"运算。 R[rd] —R[rs] + R[rt] I_Type 指指指指 5bit 5bit 16bit 特点:I-型指令是立即数型指令 双目运算:R[rt] R[rs] (OP) SignExt(imm16) Load指令: func

Addr J R[rs] + SignExt(imm16) M[Addr] J R[rt] J _Type 指令格式 31 26 25 6bit 特点:J-型指令主要是无条件跳转指令, 将当前PC 的高4位拼上26位立即数,后补两个“ 0”, 作为跳转 目 标地 址。 R 型指令: 定点运算: add / addu , sub / subu , sra , mult/multu , div/divu 逻辑运算: and / or / nor , sll / srl 比较分支: beq / bne / slt / sltu 跳转指令: jr I 型指令: 定点运算: addi / addiu 逻辑运算: andi / ori 比较分支: slti / sltiu 数据传送: lw / sw/ lhu / sh / lbu / sb / lui J 型指令: j / jal Addr J R[rs] + SignExt(imm16) R[rt] J M[Addr] Store 指令: 计算数据地址(立即数要进行符号扩展) 从存储器中取出数据,装入到寄存器中

计算机辅助设计项目实训-课程标准

一、标题:计算机辅助设计项目实训 二、适用对象 本课程是适应3年制或5年制高职院校计算机辅助设计与制造专业学生。 三、课程性质 本课程是综合性很强的专业实践课,通过前续课程:计算机基础、机械制图与CAD、机械设计基础、等相关专业课的学习培养学生具有熟练运用计算机辅助设计软件进行一般复杂程度的机械零件设计的能力、软件的应用能力、夯实计算机辅助设计专业基本技能,对后续专业课的学习打下良好的基础。该课程为项目实践课程,采纳了全新的教学设计方法,使本专业学生在整个专业学习过程中将按分层渐进和实战模拟的原则 四、参考课时 本课程建议课时52学时 五、总学分 按四舍五入的原则,每26课时为1.5学分计算,计6学分。 六、课程目标 通过本课程的学习使学生掌握新一代数字化机械零件设计能力,力争达到熟练灵活运用的能力,实践中学员的项目采用数字化机械零件设计的平台和操作方式,通过循序渐进的系统训练和反复的技能实践,使学员彻底了解和掌握现代企业的数字化机械零件设计技巧,具体如下: 1、专业能力: (1)复杂零件工程图的识读能力; (2)使用三维软件进行复杂零件的数字建模能力; (3)中等复杂曲面设计能力; (4)简单机械产品的整体设计能力; 2、社会能力: (1)团队合作能力, (2)劳动组织与实施能力, (3)容忍、沟通和协调人际关系能力, 3、方法能力:

(1)、信息查询、收集与整理能力, (2)、方案设计与评估的能力, (3)、制定工作计划和控制进度的能力。 七、设计思路 本课程力求以行动为导向、基于工作过程的课程开发方法进行设计,精简整合理论课,注重实训教学,强化技能培训,以若干个学习情境组成,引导学生有浅入深、由易到难,循序渐进的学习,使学生的设计能力得到逐步的提高。 计算机辅助设计项目实训学习情境设计见表1 项目1草绘训练项目2 基础特征训 练 项目3基准特征训 练 项目4特征编辑 训练 项目5高级 特征训练 复习草绘命令完成挂架轮的绘制并写出绘图步骤完成调节旋钮零件、 大衣刷零件、三通接 头零件的设计并写 出绘图步骤 完成及托架零件、 踏架零件、泵体零 件的设计并写出绘 图步骤 完成发动机连杆 零件、冰盒零件 的设计并写出绘 图步骤 完成立铣刀 零件、千斤 顶零件的设 计并写出绘 图步骤 2 14学时14 12 10学时 项目一草绘训练 草绘挂架轮的并写出绘图步骤 (一)适用对象该课程所有学生 (二)参考课时2学时 (三)学习目标:通过草绘挂架轮的创建熟悉草绘命令达到熟练程度。 (四)工作任务:熟悉草绘界面、草绘命令、编辑特征完成相关案例练习。 相关实践知识机械制图画法、计算机应用技术、计算机辅助设计软件应用 相关理论知识机械制图、CAD绘图

计算机原理与及设计实验报告

计算机原理与设计 实验报告 实验三多周期MIPS CPU的控制部件用有限状态机实现多周期CPU的控制部 件

一.实验目的 1、了解MIPS—CPU控制器的功能和工作原理; 2、掌握用有限状态机技术实现多周期控制器的方法; 3、熟练掌握用Verilog HDL语言设计多周期控制器的方法; 4、熟练掌握对多周期控制器的仿真实验验证和硬件测试两种调试方法; 5、掌握向MIPS-CPU顶层数据通路中增加控制单元的方法,并通过仿真验证和硬件测试两种方法对电路进行故障定位的调试技术。 二.实验内容 (1)MIPS—CPU控制器的有限状态机设计,根据MIPS—CPU 各种类型指令执行要求和有限状态机的设计原理,将多周期控制器的指令执行划分为多个状态,确定每一种指令的有限状态机,最后归纳为完整的多周期控制器有限状态机。通过Verilog HDL语言实现多周期控制器有限状态机。 (2)根据MIPS—CPU控制器的接口要求,在有限状态机的

基础上,用Verilog HDL实现完整的MIPS—CPU控制器的设计,并根据仿真波形,验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译; (3)设计控制器的硬件下载测试方案。将编译通过的电路下载到实验台中。根据硬件调试结果验证设计的正确性,并对出现的故障进行定位,修改程序重新编译;最终完成控制器的硬件电路设计; (4)在MIPS—CPU指令系统的数据通路基础上,增加控制单元电路,并进行编译,仿真波形和调试。根据仿真波形,验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译; (5)对增加了控制单元的顶层数据通路设计硬件下载测试方案。将编译通过的电路下载到实验台中。根据硬件调试结果验证设计的正确性,并对出现的故障进行定位,修改程序,重新编译;最终完成增加了控制单元的顶层数据通路设计。三.实验原理与步骤 1.把指令执行分成多个阶段,每个阶段在一个时钟周期内完成 (1).时钟周期以最复杂阶段所花时间为准 (2).尽量分成大致相等的若干阶段 (3).每个阶段内最多只能完成:1次访存或1次寄存器堆读/写或1次ALU

计算机综合实践

计算机综合实践文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

实验一 DEBUG调试工具熟悉、使用 一、实验题目:熟悉、使用DEBUG调试工具 二、实验日期:2016/08/5 三、实验目的 1,了解并逐步熟悉汇编语言的编辑方法及特点. 2.复习8088汇编语言的段结构、常用的指令与伪指令、存储空间的分配等。 3.掌握汇编语言的编辑、汇编及连接的过程。 4.了解并逐步掌握运用DEBUG进行调试汇编语言程序。 5借助DEBUG调试工具来发现汇编语言程序的错误所在并加以改正。 四、实验内容: 1进入DEBUG方法 方法1:在Windows开始菜单中单击运行命令,直接在运行对话框中输入"DEBUG" 方法方法2:在运行对话框中输入"CMD"启动DOS命令窗口后输入"DEBUG"

方法3:在程序、附件、命令提示符,启动DOS命令窗口后输入"DEBUG" 2,DEBUG命令格式DEBUG的每个命令都是一个字母,后跟一个或多个参数。下面对DEBUG命令作几点说明。字母不分大小写。 ·只使用16进制数,且不能带后缀H。 ·以空格或逗号作为命令各项之间的分隔符。分隔符只在两个数值之间是必须的。 ·可以用Ctrl-C或Ctrl-Break终止命令的执行。 ·若输入的命令有语法错误,则提示“Error”,并用“^”指出错误位置。 3,常用的Debug功能用Debug的R命令查看、改变CPU寄存器的内容, 用Debug的D命令查看内存中的内容, 用Debug的E命令改写内存中的内容, 用Debug的U命令将内存中的机器指令翻译成汇编指令。 用Debug的T命令执行一条机器指令 用Debug的A命令以汇编指令的格式在内存中写了一条机器指令 4,用Debug的R命令查看、改变CPU寄存器的内容, R命令用来显示和修改寄存器的值, 包括以下两种格式。 1,R 显示所有寄存器和8个标志位的值,并反汇编CS:IP所指的指令。 2, R<寄存器名>示指定寄存器的值,并等待用户键入新的值,按回车键结束R命令。 5、用Debug的D命令查看内存中的内容,D命令可以查看内存中的内容,D命令的格式较多,只介绍本次实验中用到的格式,8086/8088CPU能够访问1M内容,D命令可以显示0000:0000H-FFFF:FFFFH中的任何一个单元的内存如果想知道内存10000H处的内容,可以用"d 段地址,偏移地址"的格式来查看,如输入-d 1000:0 使用d 1000:9查看1000:9

关于CAD的实习报告

篇一:cad实习报告 ( xx ~xx学年第x学期) 专业:学号:姓名:实习地点:实习时间:实习成绩: 指导教师(签字):实习名称:计算机应用实践ii xxxx大学xx校区 年月日 一、实习要求: 1、实习期间严格遵守学校有关规章制度,如有违反按章处理; 2、注意安全、爱护公物、按要求操作实习设备,如有损坏按章处理; 3、不得无故缺勤、迟到,缺勤超过2次等实习不予通过; 4、每次实习课按要求完成实习任务,不得抄袭他人成果; 5、实习课后完成实习日志和实习报告,待实习结束后与实习成果一并上交; 6、未按要求完成实习任务的,实习不予通过。 二、实习内容及目的: 1、内容:简介cad 软件的基本操作; 完成基本图形的绘制,完成实习要求中作业图形的绘制; 写出制图构思及操作步骤,完成实习报告和实习日志。 2、目的:通过本次实习,使学生掌握autocad软件的基本原理、工程制图 知识和基本技能,培养构思能力,具备计算机平面图形的设计及绘制。为今后我们在专业课程中需要的计算机制图能力奠定良好基础。 三、实习方式及安排: 实习方式:老师简介基础知识,学生自主完成相关图形的绘制。 实习地点:计算机教学实践中心四楼机房 实习安排:7月9

日 11:50~14:50 老师讲解autocad软件的基本操作,学生自行熟悉软件的基本操作; 18:10~21:10 继续熟悉autocad的工作环境,学习基本元件的画法;学生自主完成实习要求的作业一图形绘制; 7月10日8:00~11:00 学习键盘操作和鼠标操作的方式,学生自主完成实习要求的作业一、二图形绘制; 15:00~18:00 学生自主完成实习要求的作业二图形绘制; 7月11日11:50~14:50 学生自主完成实习要求的作业二图形绘制; 7月12日8:00~11:00 学生自主完成实习要求的作业二、三图形绘制,完成实习报告和实习日志。 四、实习完成情况: 作业一:基本图形绘制,见附图 作业二:站场布置图绘制,见附图 篇二:cad实习报告 cad 实习报告 从我学习cad半年来,我觉得,autocad是一门应用广泛的技术性应用软件,在机械,建筑等行业尤为的重要,电脑辅助绘图相对于手工绘图有很多突出的优势在精度,准度,美观度方面它远超于手工画图。这次实习是非常有用的,它为我以后进入社会,进入工作奠定了坚固的基础,它的用途很多:纺织、建筑、机械、管道、服装、基本上所有的施工图纸都是用的cad。它是平面的,全球用的最广的就是cad了。 我觉得学习cad首先应掌握以下功能: 1、工具条中的绘图和编辑命令 2、图层的使用方法(图层的线性的设置) 3、比例的确定和标注(包括文字) 4、打印输出命令 本课程实习以cad 软件为例,介绍计算机辅助设计的功能和应用,并作一定的实践操作。要求学生了解auto cad 的主要功能,把握auto cad用于工程制图的基本操作,了解工程图纸绘制的格式和要求,能够用auto cad绘制二维的工程图纸。 在本周的cad实习,在专业课老师的认真指导下,我虚心的学习了cad的操作方法。并了解了更多关于cad方面的知识,使我对cad有了进一步的了解,一开始觉得它不是一个轻易学好的软件,觉得用处不是很大。但几天的学习下来,使我对这个软件的看法彻底改变了,不但用处很大,我们可

计算机程序设计(C)综合实践

计算机程序设计(C)综合 实践 设计报告 学院:电子通信与物理学院 设计名称:职工信息管理系统 专业班级:电子信息科学与技术14-2 学生:本良 学号: 201401100211 组号: 140203 指导教师:宋戈 完成时间: 2015年 7月23日

目录 第1部分实训题目与要求 (2) 1. 问题提出 (2) 2. 功能要求 (2) 3. 任务分工 (2) 第2部分设计实训题目功能......................................................................... . (3) 1. 总体设 计...................................................................... (3) 2. 数据结 构...................................................................... (4) 3. 程序设 计...................................................................... (5) 4. 测试与调 试......................................................................

(8) 第3部分实训总结......................................................................... . (12) 1. 个人总 结...................................................................... (12) 2. 结束 语...................................................................... (12) 第4部分参考文献......................................................................... . (13) 《C程序设计综合实践》评分表......................................................................... (14)

计算机组成原理课程设计

附件一 湖南工业大学 课程设计 资料袋 计算机与通信学院(系、部)2015 ~ 2016 学年第2 学期课程名称计算机组成原理指导教师杨伟丰职称教授 学生姓名顾宏亮专业班级软件1403 学号14408300328 题目复杂模型机的设计 成绩起止日期2016 年 6 月20日~2016 年6月21 日 目录清单

附件二湖南工业大学 课程设计任务书 2015 —2016 学年第2 学期 计通 学院(系、部)软件专业1403 班级 课程名称:计算机组成原理 设计题目:复杂模型机的设计 完成期限:自2016 年 6 月20 日至2016 年6 月21 日共 1 周 内容及任务1.根据复杂模型机的指令系统,编写实验程序 2.按图连接实验线路,仔细检查线路无误后接通电源。 3.写程序 4.运行程序 进度安排 起止日期工作内容2016.6.20-2016.6.21连接线路进行实验 主 要 参 考 资 料 唐朔飞.计算机组成原理.北京:高等教育出版社 指导教师(签字):年月日系(教研室)主任(签字):年月日附件三

设计说明书 计算机组成原理 复杂模型机的设计 起止日期:2016 年6月20 日至2016 年 6 月21 日 学生姓名顾宏亮 班级软件1403 学号14408300328 成绩 指导教师(签字) 计算机与通信学院(部) 2016年7月1日 设计题目:复杂模型机的设计

一、设计目的 综合运用所学计算机原理知识,设计并实现较为完整的模型计算机。 二、设计内容 根据复杂模型机的指令系统,编写实验程序,并运行程序,观察和记录运行结果。 三、预备知识 1、数据格式 8位,其格式如下: 1≤X<1。 2、指令格式 模型机设计四大类指令共十六条,其中包括算术逻辑指令、I/O指令、访问存储器、转移指令和停机指令。 (1)算术逻辑指令 (2)访存指令及转移指令 模型机设计2条访存指令,即存数(STA)、取数(LD),2条转移指令,即无条件转移(JMP)、结果 ,M (3)I/O指令 OUT指令中,addr=10时,表示选中“OUTPUT UNIT”中的数码块作为输出设备。 (4)停机指令

MIPS基准指令集手册

第一届全国大学生计算机系统能力培养大赛基础指令集规范 (v1.00) 第一届全国大学生计算机系统能力培养大赛(以下简称“大赛”)技术方案中要求各参赛队开发支持MIPS 基准指令集的MIPS微系统。本文档对需要支持的MIPS基准指令集进行明确规定。各参赛队提交的设计作品必须实现本文档中的所有内容,但不限于。如果发现本文档中有定义不精确之处,请查阅参考文献[1-3]中的相关章节;如发现两者存在冲突,以参考文献[1-3]中的内容为准。 本文档定义的MIPS基准指令集是在MIPS32指令集基础之上进行一定程度地裁剪,在控制系统设计规模的前提下,保证最简单系统的可实现性。概要来说,这套指令集包含了所有非浮点MIPS I指令和MIPS32中的ERET指令,少量的CP0寄存器以支持中断和系统调用,不实现TLB MMU和特权等级。 本文档包含如下章节: 第1章,“编程模型”,对支持的数据类型、软件可见寄存器、大小尾端进行定义。 第2章,“操作模式”,对处理器需要支持的操作模式进行定义。 第2章,“指令定义”,对需实现指令逐条定义。 第3章,“存储管理”,定义一套线性虚实地址映射机制。 第4章,“中断与例外”,介绍需实现的中断和例外的相关定义。 第5章,“系统控制寄存器”,对需实现的系统控制寄存器(俗称CP0寄存器)逐个进行定义。 1编程模型 1.1 数据格式 处理器可处理的数据格式定义如下: ◆比特(bit, b) ◆字节(Byte, 8bits, B) ◆半字(Halfword, 16bits, H) ◆字(Word, 32bits, W) 1.2 寄存器 处理器包含的软件可见的寄存器种类如下: ◆32个32位通用寄存器,r0~r31。其中有两个被赋予了特殊含义:r0,0号通用寄存器,值永远为0; r31,31号通用寄存器,被JAL,BLTZAL和BGEZAL指令隐式的用作目标寄存器,存放返回地址。 ◆HI/LO寄存器。HI寄存器存放乘法指令结果的高半部分或是除法指令结果的余数,LO寄存器存放 乘法指令结果的低半部分或是除法指令结果的商。 ◆程序计数器(PC)。这个寄存器软件无法直接访问。

计算机专业毕业设计的改革与实践

2012年11月第31卷第11期绵阳师范学院学报Journal of Mianyang Normal University Nov.,2012Vol.31No.11 收稿日期:2012-06-25回修日期:2012- 08-31作者简介:汤琳(1982-),女,硕士,讲师。主要研究方向:计算机应用技术计算机专业毕业设计的改革与实践 汤琳 (绵阳师范学院数学与计算机科学学院,四川绵阳621000) 摘要:该文根据毕业设计指导过程中的经验和教训,同时对社会、学校、教师及学生各方面进行调研,分析 当前计算机专业毕业设计存在的问题。并从毕业设计的各个环节入手, 进行一定的改革与实践,从而提高毕业设计的质量并促进毕业生就业。 关键词:计算机专业;毕业设计;改革;就业 中图分类号:G642文献标识码:A 文章编号:1672- 612x (2012)11-0147-030引言 随着社会和经济的发展,用人单位对计算机专业本科毕业生的要求更加全面,更加看重他们的科学思维能力、应用分析能力、实践能力、管理能力和理解交流能力。而多数毕业生仍然只注重专业理论知识的学习。因此存在一对矛盾,一方面是学校培养的计算机专业人才找不到合适的岗位,另一方面是用人单位招不到合适的人才。为了解决这对矛盾,需要解决的主要问题就是如何让学生的理论知识转化为“生产 力” ,从而更好的适应就业市场的需求。本人认为毕业设计就是这个桥梁,这个桥梁实现理论知识到实践能力的跨越,这个桥梁实现本科毕业生到符合就业市场需求的人才的跨越。但是,目前社会、学校、教师及 学生都普遍认为毕业设计质量不高, 没有达到预想的目标。[1]由此,本文先分析当前计算机专业毕业设计存在的几点不足,然后以毕业设计的各个环节为线索,探究并实践改革方法。 1毕业设计存在的问题 通过对大量资料的收集与分析,同时对社会、学校、教师及学生各方面进行调研,现将毕业设计存在的 问题总结如下 [2-5]:1.1社会方面 目前用人单位希望大学生进入工作岗位后就能直接胜任工作,希望“零”适应期,这样就可以节约单位 的人力成本。当然这无可厚非,但是现阶段大部分用人单位在招聘时,对毕业设计状况无人问津。导致学 生甚至认为毕业设计是就业和考研路上的一个包袱, 浪费时间和精力。所以正确的社会引导尤为重要,只有让学生体会到拥有优秀的毕业设计是求职路上的重要筹码,才能让学生和用人单位实现双赢的局面。 1.2学校方面 学校是相关制度的制定方和执行方,在保障毕业设计质量的过程中,学校的作用举足轻重。面临较大的招生和就业压力,学校更加应该明白毕业设计对就业的意义,将其列入重要的议事日程。很多高校对优秀毕业设计和不合格论文的比例都有硬性规定,限制了学生和教师的积极性。学生会认为只要不是做的最差的就能通过。同时指导教师也没有足够的权限,让不认真和设计欠佳的学生不通过,这样就出现指导教师苦苦催促答辩后的学生完善过程管理材料等后续工作的奇怪现象。因此制定一套执行力强的制度,明确的标准和考核指标是必不可少的。不要担心要求过于严格,学生不能如期毕业会影响学校的就业率 和来年的招生。只有严格按照规定要求学生, 加强学生的就业竞争力,才是良性循环。1.3指导教师方面 指导教师是毕业设计的直接把关者和管理者,所以指导教师的经验丰富和责任心强是毕业设计质量的保障。但是随着扩大招生,学生的数量增多,学生和教师的比例失衡。这就造成了几乎所有的教师都要

cad实训报告心得体会

cad实训报告心得体会 计算机辅助设计(CAD)实训 按照课程按排,我们进行了两周的CAD实训。 从上个学期的手工画图,到这个学期的电脑画图,感觉到先进的技术人们带来了快速和方便。上个学期的手工画图,即麻烦又不方便。用铅笔和尺子趴在桌子上埋头的画,由于受人和仪器的原因,图画的误差可能比较大,从而影响了图画的质量,工程图的好坏对工程的影响是很大的,可能导致豆腐渣工程,危害人们的生命财产,这是不允许的。另外,手工画图的速度也比较慢,一幅图手工画可能要一天,甚至更长。但用电脑CAD软件来画,熟练的人两个小时左右就可以画完,并且精度比手工画的图远远要高。 从学CAD的那一刻起,我就感到了画图的方便和快速。我期待着CAD实训周的到来,我希望能从这两周的实训学到更多的东西和提高自己的操作水平。实训周的第一天,我满怀期待地来到我们实训的电脑室。进入电脑室后,按号入座,迅速打开电脑就操作起来,第一天的实训就这样开始了。俗话说得好“万事开头难。”第一天实训就遇到了不少麻烦。我自己也有一台电脑,闲暇的时候也会打开CAD软件画画图,经过一段时间后,自己感觉画图的速度也挺快的,信心比较充足。但由于在我的电脑和学校的电脑之间的不适应,一个早上下来,

连一幅图也没有画完,感觉有些沮丧,没想到实训第一天就让我跌了一大跤。想到我们大部分时间都是在机房里面实训并且大部分的图都是用机房的电脑来完成绘制的,我就勤奋地操作画图,希望一段时间后操作速度能大大的提高,在规定时间内完成作图。另外,在 画图的细节上我也有不少错误的地方。比如,有些线条该用红色的,而我用了白色;一些线型的比例和一些文字的高度也有弄错的等等。我只好边练习,边向老师和同学询问和讨教,这样使我减少了很多细节上的错误。从中我感受到了大家集中一起来作图的好处,不懂的地方可以向周围的同学还有老师讨教,从而迅速地把不懂的问题解决掉,有助于更好地完成作图。 实训的第二天和第三天,我都比较早地来到电脑机房加紧作图。这两周的实训要完成建筑平面图、立面图、剖面图的绘制。实训的第一阶段主要是系统学习CAD绘图基本知识和基本操作命令,学习绘制简单构件,并且学习CAD工作界面各组成部分的功能和作用,以检测我们上课质量和运用CAD绘图的熟练度。在这些基础之上感觉运用CAD绘图轻松了许多,使我对后期完成建筑图的绘制又慢慢有了信心。除了在老师规定的时间在电脑室作图外,我还利用其他的时间继续练习作图,回到宿舍里,休息一段时间,又继续作图。没办法啦,苯鸟不先飞就会饿死,两个星期的实训,再加上中途机房进行维修,时间是很短暂的,不加紧练习,完成作业都没希望了。这两天的不断操作,

大学生计算机编程实训心得领悟.doc

大学生计算机编程实训心得体会 编程是编写程序的中文简称,就是让计算机为解决某个问题而使用某种程序设计语言编写程序代码,并最终得到相应结果的过程。分享,欢迎阅读! 大学生计算机编程实训心得体会一 目前在国内制造业对数控加工高速增长的需求形势下,数控编程技术人才出现了严重短缺,数控编程技术已成为就业市场上的需求热点。以市场为导向的职业教育不断开辟这一领域的新课题,在传统职业的知识和技术上溶入了数字化信息的新技术、新知识、新工艺。国家大力发展职业教育,大力进行职教师资培训。以骨干教师为重点的培养培训活动在全国各个重点建设职教师资基地纷纷开展。我就是2007年第二批次国家级骨干教师培训的学员,培训地在济南数控编程培训学校,专业是数控加工技术。在济南学习期间,对于数控机床编程技术的学习心得写下来跟朋友们一起探讨。 一、学好数控编程技术需要具备以下几个基本条件: (1)具有基本的学习资质,即学员具备一定的学习能力和预备知识。 (2)有条件选择好的培训机构和培训教材。 (3)在实践中积累经验。

二、学习数控编程技术,要求学员首先掌握一定的预备知识和技能,包括: (1)基本的机械制图基础。 (2)基本的机械加工常识。 (3)机加工工艺基础。 (4)基本的三维造型技能。 三、选择培训教材应考虑的因素包括: (1)教材的内容应适合于实际编程应用的要求,以目前广泛采用的基于CADCAM软件的交互式图形编程技术为主要内容。在讲授软件操作、编程方法等实用技术的同时也应包含一定的基础知识,使读者知其然更知其所以然。 (2)教材的结构。数控编程技术的学习是一个分阶段不断提高的过程,因此教材的内容应按不同的学习阶段进行合理的分配。同时,从应用角度对内容进行系统的归纳和分类,便于读者从整体上理解和记忆。 四、学习方法与技巧 同其他知识和技能的学习一样,掌握正确的学习方法对提高数控编程技术的学习效率和质量起着十分重要的作用。下面是几点建议:

计算机原理课程设计(4)

课程设计说明书 计算机组成原理算法实现(四) 专业 计算机科学与技术 学生姓名 王亚飞 班级 D 计算机132 学 号 1320704223 指导教师 花小朋 完成日期 2016年1月22日

1 课程设计目的 本课程设计是在学完本课程教学大纲规定的全部内容、完成所有实践环节的基础上,旨在深化学生学习的计算机组成原理课程基本知识,进一步领会计算机组成原理的一些算法,并进行具体实现,提高分析问题、解决问题的综合应用能力。 2 课程设计内容与要求 2.1 题目 计算机组成原理算法实现(四) 2.2 功能 能够实现机器数的真值还原(定点小数)、定点小数的单符号位补码加减运算、定点小数的补码乘法运算和浮点数的加减运算。 (1)系统进入(主)窗体的设计:菜单需要在输入口令正确后方可激活使用。口令输入错误时要给出重新输入口令的提示。 (2)选择主窗体中“定点小数真值还原”时进入下图所示的窗体: 在上面的窗体中按“输入”按扭时,将输入焦点设置为最上面的一个文本框上。输入一个定点小数形式的机器数(如:1.1001)后,按“原—〉真值”、“反—〉真值”、“补—〉真值”或“移—〉真值”按钮中的任一个后,将在第二个文本框中显示对应的真值。选择“返回”按钮时回到主窗体。 (3)选择主窗体中的“定点小数单符号位补码加减运算”时进入如下图所示的窗体:操作时首先选择“输入”按钮输入参与运算的数据,然后再选操作按钮。当单击“加法”、“减法”第三、四个文本框显示对应的结果。选择“返回”按钮时回到主窗体。 (4)选择主窗体中的“定点小数原码乘法”时进入如下图所示的窗体:操作时首先选择“输入”按钮输入参与运算的数据,然后再选操作按钮。当单击“乘法”时第三个文本框显示对应的结果。选择“返回”按钮时回到主窗体。 (5)选择主窗体中的“浮点数的加减运算”时进入下图所示的窗体:先选择“输入”按钮输入参与运算的数据,再选操作按钮。当单击“加法”和“减法”时下列文本框显示对应的结果:加法的阶码和尾数、减法的阶码和尾数。选择“返回”按钮时回到主窗体。 (6)选择主窗体中的“帮助”进入下图所示的窗体:阅读完文字后,可使用“关闭”按钮返回主窗口。

计算机设计与实践——MIPS基本指令

MIPS 基本指令和寻址方式: MIPS 是典型的RISC 处理器,采用32位定长指令字,操作码字段也是固定长度,没有专门的寻址方式字段,由指令格式确定各操作数的寻址方式。 MIPS 指令格式一般有三种格式: R-型指令格式 I-型指令格式 J-型指令格式 R _Type 指指指指 26 21 16 11 6 31 OP : 操作码 rs : 第一个源操作数寄存器 rt : 第二个源操作数寄存器(单目原数据) rd : 结果寄存器 shamt :移位指令的位移量 func : 指令的具体操作类型 特点:R-型指令是RR 型指令,其操作码OP 字段是特定的“000000”,具体操作类型由 func 字段给定。例如:func=“100000”时,表示“加法”运算。 R[rd] ← R[rs] + R[rt]

I_Type 指指指指 OP immediate rt rs 0 262116 3115 特点:I-型指令是立即数型指令 双目运算:R[rt] R[rs](OP)SignExt(imm16) Load指令: Addr ←R[rs] + SignExt(imm16) 计算数据地址(立即数要进行符号扩 展) R[rt] ←M[Addr] 从存储器中取出数据,装入到寄存器中 Store指令: Addr ←R[rs] + SignExt(imm16) M[Addr] ←R[rt] J_Type 指令格式 OP target address 0 26 31 6bit26bit 25 特点:J-型指令主要是无条件跳转指令,将当前PC的高4位拼上26位立即数,后补两个“0”,作为跳转目标地址。

相关文档
相关文档 最新文档