文档库 最新最全的文档下载
当前位置:文档库 › 篮球比赛数字记分牌的设计方案

篮球比赛数字记分牌的设计方案

篮球比赛数字记分牌的设计方案
篮球比赛数字记分牌的设计方案

目录

1 课程设计题目`内容与要求………………………设计内容

具体要求

2系统设计…………………………

设计思路

系统原理

3 系统实现……………………………………………

4 系统仿真……………………………………………5硬件验证(操作)说明………………………………

6 总结…………………………………………………7参考书目……………………………………………

一、课程设计题目、内容与要求

课程设计的题目:篮球比赛记分牌

课程设计内容:

1、根据比赛实际情况记录两队得分,罚球进的1分,

进球的2分;

2、记分牌要具有纠错功能,能减1分、2分功能;

3、利用3个译码显示管输出比赛的分;

二、系统设计

设计思路:

篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明

系统各个模块的功能如下:

1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。

2、4为二进制全加器电路模块实现加法计数功能。

3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。

4、二选一数据选择器电路模块用来控制移位寄存器

5、 LED数码管驱动电路模块

三、系统实现

各模块电路的源程序如下:

1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。

library ieee;

use

entity sync_rsdff is

port(d,clk : in std_logic;

set : in std_logic;

reset: in std_logic;

q,qb : out std_logic);

end sync_rsdff;

architecture rtl_arc of sync_rsdff is

begin

process(clk)

begin

if (clk'event and clk='1') then

if(set='0' and reset='1') then

q<='1';

qb<='0';

elsif (set='1' and reset='0') then

q<='0';

qb<='1';

else

q<=d;

qb<=not d;

end if;

end if;

end process;

end rtl_arc;

2、移位寄存器模块电路及程序:

library IEEE;

use shft_reg is

port (

DIR : in std_logic;

CLK : in std_logic;

CLR : in std_logic;

SET : in std_logic;

CE : in std_logic;

LOAD : in std_logic;

SI : in std_logic;

DATA : in std_logic_vector(3 downto 0);

data_out : out std_logic_vector(3 downto 0) );

end shft_reg;

architecture shft_reg_arch of shft_reg is

signal TEMP_data_out : std_logic_vector(3 downto 0);

begin

process(CLK)

begin

if rising_edge(CLK) then

if CE = '1' then

if CLR = '1' then

TEMP_data_out <= "0000";

elsif SET = '1' then

TEMP_data_out <= "1111";

elsif LOAD = '1' then

TEMP_data_out <= DATA;

else

if DIR = '1' then

TEMP_data_out <= SI & TEMP_data_out(3 downto 1);

else

TEMP_data_out <= TEMP_data_out(2 downto 0) & SI;

end if;

end if;

end if;

end if;

end process;

data_out <= TEMP_data_out;

end architecture;

3、二选一数据选择器电路模块及程序:

entity mux is

port(do,d1:in bit;

sel:in bit;

q:out bit);

end mux;

architecture a of mux is

begin

q<=(do and sel)or(not sel and d1);

end a;

4、加法计数器的电路模块及程序:

LIBRARY IEEE;

USE add4 IS

PORT(a1,a2,a3,a4:IN STD_LOGIC;

b1,b2,b3,b4:IN STD_LOGIC;

sum1,sum2,sum3,sum4:OUT STD_LOGIC;

cout4:OUT STD_LOGIC);

END add4;

ARCHITECTURE add_arc OF add4 IS

SIGNAL cout1,cout2,cout3:STD_LOGIC;

COMPONENT halfadd

PORT(a,b:IN STD_LOGIC;

sum,hcarry:OUT STD_LOGIC);

END COMPONENT;

COMPONENT fulladd

PORT(in1,in2,cin:STD_LOGIC;

fsum,fcarry:OUT STD_LOGIC);

END COMPONENT;

BEGIN

u1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);

u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);

u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);

u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);

END add_arc;

5、七段译码电路及程序:

library ieee;

use deled is

port(

datain:in std_logic_vector(3 downto 0);

qout:out std_logic_vector(6 downto 0) );

end deled;

architecture func of deled is

begin

process(datain)

begin

if datain= "0000" then qout<="1111110";

elsif datain= "0001" then qout<="0110000";

elsif datain= "0010" then qout<="1101101";

elsif datain= "0011" then qout<="1111001";

elsif datain= "0100" then qout<="0110011";

elsif datain= "0101" then qout<="1011011";

elsif datain= "0110" then qout<="1011111";

elsif datain= "0111" then qout<="1110000";

elsif datain= "1000" then qout<="1111111";

elsif datain= "1001" then qout<="1111011";

else null;

end if;

end process;

end func;

四、系统仿真

1、D触发器电路模块仿真波形:

2、移位寄存器模块电路仿真波形:

3、二选一数据选择器电路模块仿真波形:

4、加法计数器的电路模块仿真波形:

5、七段译码电路仿真波形:

五﹑硬件验证说明

这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等,

六、总结

此课题说明了使用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,仿真结果表明VHDL 语言应用于数字电路仿真是切实可行的,该语言在电子设计领域受到了广泛的接受。

用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

通过对本设计项目的研究,使我进一步了解了EDA、数字电路等多门课程,使得所学的专业知识有机地结合起来,得到了实践和运用的机会,且通过实践和运用巩固了相关的理论知识,提高了工程实践能力。例如:使用MAX+PLUSII软件和硬件实验平台都得到了充分的锻炼。设计过程中,由于知识的局限性,我们遇到过很多困难,不知这样把各个功能模块很好的结合起来,耗费了大了量的时间,但我们并没放弃, 克服困难,逐步摸索方法,找到问题所在,缩短了设计周期。从本设计看出,要做真正的设计、研究,我们现在的知识还远远不够,还需学习更多、更广、更先进的知识才行!

七、参考书目

[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005

[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004

[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京:电子工业出版社 2002

[4]《VHDL程序设计》曾繁泰陈美金著北京:清华大学出版社 2001

[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005

[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

篮球数字记分牌

数字电子技术课程设计篮球比赛数字记分牌 院系:机电工程 专业:电子信息工程 年级(班级):2013级(2)班 姓名:叶庆缘 学号: 20134082035 指导教师:陈丽华 完成日期: 2015 年 3 月 21日 成绩:

目录 1 引言 (1) 2 设计原理与方案 (1) 2.1 设计任务与要求 (1) 2.2 设计方案 (1) 3 电路设计与调试 (2) 3.1 模块设计 (2) 3.1.1 计数\编码电路 (2) 3.1.2 译码显示电路 (2) 3.1.3 开关控制输入电路 (2) 3.2 单元电路的设计 (3) 3.2.1 计数\编码电路的设计 (3) 3.2.2 译码\驱动\显示电路设计 (4) 3.2.3 信号输入控制电路设计 (6) 4 结论 (6) 5 设计心得体会 (6) 参考文献 (7) 附录A 整体电路图 (8) 附录B 元件清单 (9) 附录C 实物图 (10)

篮球比赛数字记分牌 1 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力. 2 设计原理与方案 2.1 设计任务与要求 2.1.1设计篮球比赛数字计分牌,以达到以下要求: 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 2.2 设计方案 1、篮球比赛数字计分牌要求能分别记录两队的得分的情况,可清零重新开始比赛,所以设置一开关用来复位。 2、计分牌由百、十、个位组成,用LED数码管(共阴)显示,配用相应译码器CD4511。 3、分数的个位与十位计数用十进制加/减计数器(CD40192)来实现。百位由JK触发器组成只呈现1或不显示状态,即达到100分前只有个位与十位显示,计分系统最多只有199分。

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

简单篮球比赛计分器设计

目录 引言............................................................................................................................ I 1 设计意义与要求 (1) 1.1 设计意义 (1) 1.2 设计要求 (1) 2系统总体方案及硬件设计 (2) 2.1 AT89C51简介 (2) 2.2 数码管显示原理 (2) 2.3 系统总体方案 (3) 3 编程设计 (4) 3.1 定时/计数器 (4) 3.2 程序流程图 (4) 3.3 程序分析 (6) 4 Proteus软件仿真 (7) 4.1 Proteus仿真软件简介 (7) 4.2 仿真过程 (7) 5 调试分析 (10) 6 心得体会 (11) 致谢 (12) 参考文献 (13) 附录一程序清单 (14) 附录二电路原理图 (16)

篮球比赛计分器设计 引言 本系统是采用单片机AT89C51作为本设计的核心元件,利用7段共阳LED作为显示器件。在此设计中共接入了2个7段共阳LED显示器,用于记录该队的分数,2个LED 显示器显示范围可达到0~99分,基本满足赛程需要。当比赛队得1分时,按下S1键加1分,得2分时按S2键加2分,得3分时按下S3键加3分。如分数计错需减分时,每按一次S4键减1分。 采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:AT89C51 LED显示定时/计数器

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

篮球比赛计分器设计

学号: 本科毕业设计 学院 专业 年级 姓名 论文题目篮球比赛计分器设计 指导教师职称 年月日

目录 摘要 (1) Abstract (1) 1绪论 (2) 1.1篮球比赛计分器设计的研究的背景 (2) 1.2研究内容 (2) 1.3设计目标 (3) 2方案选择与论证 (3) 2.1方案一 (3) 2.2方案二 (4) 2.3方案三 (4) 2.4方案选择 (5) 3单元电路设计 (5) 3.1单片机简述 (5) 3.2振荡电路 (6) 3.3控制按键电路 (7) 3.4复位电路 (7) 3.5报警时钟电路 (8) 3.6数码管显示电路 (8) 4软件设计 (10) 4.1 主流程图 (10) 4.2 源程序代码 (11) 5调试结果及分析 (11) 6 结论 (12) 参考文献 (13)

篮球比赛计分器设计 摘要:篮球比赛计分器主要由计分器、计时器等多种电子器件组成。篮球比赛计分器主要实现的基本功能有:开始和暂停、比赛时间倒计时、24秒倒计时、加分操作、蜂鸣报警、时间和比分显示的基本功能。本设计具备比赛过程中数据显示的稳定性及准确性;在比赛进入倒计时24秒之后,该系统会有报警声,即该场比赛结束。随着单片机在各个领域的应用,篮球比赛积分器系统也应用单片机为主要芯片。在用单片机控制的LED七段显示器计时计分以便于在比赛中提高稳定性和准确性。本设计是基于单片机AT89C51的篮球比赛计分系统。利用三个四位8段数码管作为显示器件,有时间显示,每一次进攻24秒显示,每一节分钟倒计时显示,双方比分显示。 关键词:篮球比赛;计分器;24秒倒计时 The design of basketball game scoring device Abstract:Basketball game scoring devices mainly includes scoring devices, calculagraph, and other electronic devices. The basic functions of a basketball game are: start and pause, the game countdown, a 24-second countdown, adding scores, buzzing alarm, displaying time and scores. This design is stable and accurate. When the game is 24 seconds left, the alarm will ring. Then it means game is over. With the application of SCM in various fields, the basketball game system also adopts SCM as the main chip. By using the LED seven-segment display controlled by SCM, it will be more stable and accurate in the game. This design is mainly talking about the scoring system which is based on SCM AT89c51. Using three four eight-segment as display devices, it shows time, every 24 seconds, every minute countdown, scores between two sides. Key words: Basketball game, scoring device, 24 seconds countdown

篮球比赛数字计分牌

烟台南山学院 数字电子技术课程设计题目篮球比赛数字计分牌 姓名: 所在学院:计算机与电气自动化学院 所学专业:电气工程及其自动化 班级电气工程1006班 学号 指导教师 完成时间: 2012年12月

内容摘要 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。电子计时计分系统可取代传统记分员手动翻动记分牌的工作模式,对比赛的比分和时间进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同的比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类制胜类,得分类等多种类型。 电子计时计分器系统是一个负责各类体育竞赛技术支持系统前沿(比赛场地)的数据采集和分配的专用系统,它负责各类体育竞赛结果、成绩信息的采集处理、传输分配,即将比赛结果数据通过专用技术接口、界面、协议,分别传送给裁判员、教练员、计算机信息系统、电视转播与评论系统、现场大屏幕显示系统等。 由于体育竞赛的不可重复性,决定了电子计时计分系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。因此,电子计时计分系统自身组成独立的采集、分配、评判、显示发布系统,做到所以信息的实时、准确、快捷、权威。电子计时计分设备是各类体育竞赛中不可缺少的电子设备,电子计时计分系统设计是否合理,关系到整个体育比赛系统运行的稳定和可靠,并直接影响到整个体育比赛的顺利进行。电子计时计分系统是体育竞赛的重要工程项目,是关系到竞赛成败的关键工程,每一个单项体育竞赛都具有对应的专门电子计时计分工作系统。这些工作系统各不相同,但都是各单项成绩处理系统的前级数据采集系统,除了提供计算机成绩处理系统竞赛数据以外,还需要在部分项目中连接电视转播等其他工作系统,电子计时计分需要极高的工作稳定性和可靠性。由于LED显示牌是目前公共场所中信息发布的主要载体,因此该系统也可拓展使用到会展中心、广场、银行、市场等公共场所及车站机场等交通运输行业。尤其是一些发布数据并需要经常手动更新的领域。因此,该项目有着重要意义。 关键词:电子计时计分 LED数码管篮球记分数电

篮球比赛数字记分牌

广西科技大学(筹) 课程设计说明书 课题名称篮球比赛数字记分牌 系别职业技术教育学院 专业电子信息工程 班级电子Z102班 学号孙思(201002203092) 池亮(201002203090) 李友军(201002203079)学生姓名孙思池亮李友军 指导教师廖贵成

摘要: 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。数字记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。 我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。基于篮球比赛的特点,我们选取了专门的设计方案,用vhdl设计数字电路,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 关键词:篮球比赛数字记分牌vhdl LED数码管

目录 一、前言 (4) 二、设计要求 (4) 三、设计内容 (4) 1、基本原理 (4) 2、功能描述 (5) 3.程序设计 (5) 3.1 vhdl源代码...................................................................................................... 5-8 3.2 vhdl源代码分析 .......................................................................................................... 8-9 4、仿真结果 ................................................................................................................................. 9-10 四、总结............................................................................................................................. 11-12 五、参考文献 (12)

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

篮球赛电子计时记分牌

摘要 篮球赛电子计时记分牌是根据篮球比赛特点设计的独立的信息采集、分配、评判和显示的系统,能实现比赛时间和分数的实时、准确、快捷处理和呈现。本设计原理简单,主要采用AT89C52单片机作为微控制器,集合矩阵键盘、数码管和LED指示灯,通过键盘控制记分牌的工作状态,通过数码管和LED指示灯来反馈信息。本设计在充分考虑了篮球比赛的实际情况基础上设计,具有友好的人机交互接口,并且可以防止人为误操作造成的不良后果,具有一定的实用性。 关键字:单片机;AT89C52;计时记分牌;篮球比赛

目录 1 智能仪器仪表的简介 (1) 1.1智能仪器仪表简介 (1) 2 系统设计简介 (2) 2.1 篮球赛电子计时记分牌简介 (2) 2.2 设计要求 (2) 2.3 设计方案论证 (2) 3.系统硬件设计 (3) 3.1单片机的选型 (3) 3.2 复位和振荡电路的设计 (3) 3.3键盘输入模块的设计 (4) 3.4 信息提示模块的设计 (4) 3.5 系统总体电路 (5) 3.6 系统所用元器件 (7) 4 设计语言及软件介绍 (8) 4.1 汇编语言介绍 (8) 4.2 wave6000软件介绍 (8) 5 系统软件设计 (9) 5.1 软件系统总体设计方案 (9) 5.2软件系统流程图 (9) 5.2.2按键检测子程序设计 (12) 5.2.3 数码管显示子程序设计 (12) 5.3 控制源程序 (13) 5.3.1汇编程序 (13) 5.4 调试及仿真 (24) 结论 (26) 参考文献 (27)

1 智能仪器仪表的简介 1.1智能仪器仪表简介 仪器仪表(英文:instrumentation)仪器仪表是用以检出、测量、观察、计算各种物理量、物质成分、物性参数等的器具或设备。真空检漏仪、压力表、测长仪、显微镜、乘法器等均属于仪器仪表。广义来说,仪器仪表也可具有自动控制、报警、信号传递和数据处理等功能,例如用于工业生产过程自动控制中的气动调节仪表,和电动调节仪表,以及集散型仪表控制系统也皆属于仪器仪表。

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

单片机篮球计分器课程设计报告

电气工程及其自动化学院学生课程设计报告 题目:篮球记分器课程设计报告 指导老师:梁建伟 电气工程及其自动化学院 专业班级:电气131 姓名:杨昊东 1月14日

一、概述 目前,篮球计分器的设计是通过AT89C52单片机芯片的工作原理在原来的篮球计分系统的按键计分的基础上进行比赛比分的加减及启动暂停等操作,在基础功能上增 加了1s/次的24s倒计时报警功能。 本论文以一场篮球比赛具体计时计分方式为研究对象,以比赛规则为基础,对遥 控篮球计分系统进行了分析和研究。该设计主要通过对单片机的工作原理及对单片机 的应用,来实现一场模拟的篮球比赛的计时计分过程。在设计中使用了定时器T0中断来进行计时,显示分为计时和计分两部分,且都用动态扫描方式。主控芯片采用 AT89C52,利用了keil软件进行C语言进行编程,proteus软件进行电路图仿真,完成设计目标及功能的正常实现,再使用电子元器件进行实物焊接后进行实物仿真。 普通篮球比赛采用人工计分,而使用单片机来进行控制,操作便捷,LED数码管 显示简单易懂,安装方便。适合各种规模的篮球比赛,完全可以代替钟表型的人工计 时计分,是体育器材实现智能化的一个例子,推动了电子在体育比赛中的应用和普及。 其基本功能有: (1)当比赛开始按键按下时比赛开始,比赛时间倒计时, (2)比赛过程中通过红外遥控进行比分控制和暂停指令。 (3)24s违规倒计时,遥控按键控制;中场比分交换,按键控制, (4)比赛结束后通过复位按键进行清零,按下启动按键重新开始。 基本原理:

24秒计时器的总体参考方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用单片机定时器。 译码显示电路由共阴极七段LED显示器组成。报警电路在实验中用发光二极管和鸣蜂器。 主体电路: 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。 二、篮球计分系统硬件设计

篮球比赛电子记分牌数电课程设计

广东石油化工学院 课程设计说明书 课程名称:数字电子技术课程设计 题目:篮球比赛电子记分牌 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

篮球比赛电子记分牌 一、设计任务与要求 设计一个符合篮球比赛规则的记分系统。 (1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。 (2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。 (3)有比赛规则规定的其他计时、记分要求。 二、方案设计与论证 整个电路分为倒计时,记分牌,持球与暂停秒牌,加时与中场休息。 倒计时部分:总比赛时间为40分钟,分4个小节,每节10分钟,需要用到4个十进制计时器;记分牌可以根据情况分别加1分2分3分,所以需要3个脉冲分路,同时添加一个减法器,以解决误判情况;设置一个时间暂停,暂停灯会亮,在比赛进行时,设置一个持球时间倒计时,持球结束可以重置为零,若持球时间到,要有灯提示 电路框图为

三、单元电路设计与参数计算 输入输出 清零置数加计数减计数数据 QA QB QC QD CLR LOAD‵UP DOWN A B C D 1 ×××××××0 0 0 0 0 0 ××d0 d1 d 2 d 3 d0 d1 d2 d3 0 1 ↑ 1 ××××递增计数 0 1 1 ↑××××递减计数 0 1 ↑ 1 ××××保持 CLK CLR‵LOAD‵ENP ENT 工作状态 ×0 ×××置零 ↑ 1 0 ××预置数 × 1 1 0 1 保持 × 1 1 ×0 保持(但C=0) ↑ 1 1 1 1 计数 1.倒计时 电路图如下 如图所示,元件U1,U2,U3,U4是4个74LS192,而U5-U8是七段字符显示器。由于我们要做的是一个每节10分钟的比赛倒计时,所以让分位U5置数为1001,显示为9;秒十位U6置数为0101,显示为5;而U8是显示的是比赛的节数,所以置数为0001,显示为1。接一个始终脉冲V1至U3DOWN处,U3BO输出接U2DOWN,使U6U7显示是一个60秒倒计时。而U2的BO输出接U1DOWN,使得分

篮球比赛记分器设计1

篮球比赛记分器设计 Design Of Basketball Scoring 设计题目:篮球比赛记分器设计 学院名称:电气与信息工程学院 专业名称:电子信息工程 班级名称:电子 0841 学号: 31 11 13 43 完成时间:2011年7月6日 2011年7月6日 同组设计人名单及任务

总结 魏高峰 基于 MCS-51 系列中的 STC89C52 芯片应用开关电源,无线遥控,采用动态扫描方式等新型设计理念开发出具有主控同步监视、无线控制、声音提示等功能的智能篮球记分器。该系统能够完美地实现篮球比赛中准确计时、记分、24 s 倒计时。其控制系统的模块包含 7 bit 动态

显示数码记分模块 4bit 动态显示数码计时模块 A/B 两队加减分模块无线遥控模块和声音提示模块。 主控台电路基于单片机 STC80C52 为控制核心,单片机口作为篮球记分器监视器的位控制口,将控制信号通过 74LS154 译码器连接数码显示管,将单片机输出的编码进行 4-16 译码。从而控制数码显示管显示位口连接至发射模块PT2262 芯片,将键盘输入的信息通过单片机传输到2262 数据位中进行编码 P0 口作为键盘端口,将键盘矩阵的信息输入至单片机处理为段数据口,I /O 连接至 74LS245,它是 8 路同相三态双向总线收发器可双向传输数据,通过 74LS245 用来驱动数码管。 显示屏主板控制电路以单片机STC89C52 为控制核心,口连接至 PT2272 解码芯片的输出口,将无线传输过来的信息经过 PT2272解码后输入至显示屏中的控制单片机。口作为篮球记分器位显示控制口,将控制信号通过74LS154译码器译码选择位选。 键盘是信息的输入窗口基于 STC80C51 单片机,采用矩阵键盘采用4条I /O线作为行线,3 条I/O线作为列线组成的键盘。在行线和列线的每个交叉点上设置一个按键,这样键盘上按键的个数就为3*4个。这种行列式键盘结构能有效地提高单片机系统中 I /O 口的利用率通。过软件编程可以消除键抖动,而达到更好的信息输入的作用。 主控制台提供给场地记分裁判使用。作为显示屏的同步监视器,当接通电源,时间显示的 7 位共阴极的数码管显示 00 00 00 时,按下12 min一键置位,即可以显示“12 00 24 00 00 ”表示第一节 12 min 以及 24 s 倒计时准备开始。按计时键时,时间开始倒计时比赛开始。并同时响铃记分显示:要给A队或者B队加分,分别按下不同的记分键+1 -1 键;当比赛出现暂定,比赛时间与24s 倒计时同时暂停并同时响铃提示。比赛结束时,响铃提示。进入第二节比赛、第三节比赛、第四节比赛与此类似。 当接通电源显示屏显示与主控制台一样,显示屏通过接收无线传输的数据信息,将信息通过单片机等控制同步显示给观众及球员。 总结 段磊 随着单片机载各个领域的广泛应用,许多用单片机作控制的球赛计时计分器系统也应运产

【最标准】数字电子技术课程设计 篮球比赛数字计分牌

烟台南山学院 数字电子技术课程计题目篮球比赛数字计分牌 姓名: 所在学院:工学院电气与电子工程系 所学专业:自动化 班级: 学号: 指导教师: 完成时间:

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分课程设计代码:07120052 适应班级:电气工程、自动化 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是自动化、电气工程及其自动化专业的一门专业必修课,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。着重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 2、课程设计的教学要求 数电课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或

篮球比赛记分表记录方法

篮球比赛记分表记录方法 对4 X 12分钟比赛的各节中:无论何时,队员发生侵人犯规、违反体育道德的犯规、取消比赛资格的犯规(对第54条打架除外)或技术犯规,记录员还应登记犯规队员的球队犯规。 他要登记在记录表上队名与队员姓名之间的"全队犯规"的方格内。方格有4排,每排各有4个方格,每排分别在每节(以及可能发生的决胜期)使用。 当某队的队员发生犯规时,记录员要依次在方格内用一大“×”划掉从1至4的方格。 此后,当出现第5次犯规时,规则第57条(全队犯规--处罚规则)的规定开始实行。累积分: 记录员要记录两队按时间顺序得分的累积分一览表。 记录表上有4个“累积分”栏。 每一栏含有4列竖式方格: 左侧成对的两列方格给“A”队记录,右侧成对的两列方格给“B”队记录。 在中间两列方格内是两队的累记分(160分)。 对有效的投篮得分,记录员首先要画一斜线/;对任一有效的罚球得分,记录员要画一涂实的圆圈。在球队刚得分时,将其累积分按上述方法记录在总得分上。然后在新的总得分数同侧,(新的/或。的旁边)的空格内,记录员要登记投篮得分或罚球得分的队员号码。 记录员必须遵循这些附加的要求: 记录员投篮得3分时应在适当的栏内画一圆圈套住该队员的号码。 记录球队将球进人本方球篮得分时应记录对方球队队长得分。 记录当球没有进人球篮而得分(第43条干扰球)时应记录投篮的队员得分。 对 4 ×12分钟的比赛,在每节结束时记录员要用粗线画一圆圈套住每一队最后的得分数。 在每一半时结束时,记录员要用粗线画一圆圈套住每一队最后的得分数,并在最后的得分数和最后得分的队员号码下面画一粗横线。 在下半时和任一个决胜期开始时,记录员要从得分的中断处继续按上述的方法记录。 比赛终了时,记录员要在每队的最终得分数和最后得分的队员号码下面画两条粗横线,随后他 要画一条斜线通到该栏的底部将每队剩余的数字(累积分)划掉。 无论何时只要可能,记录员要与球场记分板核对他的累积分。如果不一致,并且他的记录是正确的话,他要立即设法纠正记分板上的比分。如无把握或其中一队对改正比分提出异议,则一旦球成死球并停止比赛计时钟时立即报告主裁判员。 总结: 每节结束时,记录员要将两队的得分填人记录表下端的适当区间内。 他也要填写最终和任一个决胜期的得分。 比赛结束时,记录员要填写最后的比分和胜队的队名。 然后记录员要在记录表上签字,接着由计时员、3O秒钟计时员、副裁判员和主裁判员进行会签。 主裁判员最后在记录表上签名,此举主裁判员最后在记录表上签名,此举结束了对该场比赛的管理。 注:如果某队长在记录表的“球队抗议队长签名”栏内签名,记录台人员和副裁判员均要留下等候主裁判员的处理,直至他允许大家离开。

相关文档