文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路第3章复习题

数字逻辑电路第3章复习题

一、分析题:

1、试分析如下面图(a )和图(B)所示逻辑电路,说明分别是什么逻辑功能。(10分)

.

2、(10分)、试分析下图所示逻辑电路。

2

B L =1

1

A

C

&

L &

=1

&

3-1、(12分)、分析下图电路的逻辑功能。要求写出逻辑函数表达式,画出真值表,说明电路的逻辑功能。

3-2(8分)、由译码器74138和8选1数据选择器74151组成如下图所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数,试分析下图电路的逻辑功能。(74138是3-8线译码器,74151是8选1数据选择器)。

A

1

&

B

1

&

&

L

≥1

≥1

≥1

≥1

L

A

B

(a)

(b)

5Y 6

D 074138D 13

401

A Y 7G 0Y 13

D A 5

2D Y D A 6G D 1Y Y Y D 27

420

1Y

2B

D 74151G 2A

1A A 0

2A Y

1X 0

2X X 10

Z Z Z 2

4、(6分)由译码器74138和门电路组成的电路如下图所示,试写出L 1、L 2的最简表达式。

5、

6、(12分)、下图所示的TTL 门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?说明正确或错误的理由。如有错误请改正。

CD AB L ⋅=1 AB L =2 C AB L +=3

+V &

R P

C D

&L CC

B A 1

B

&

A =1

V CC

L 2

&L 3

C

B A ≥1

(a ) (b) (c)

7、(12分)、在下图(a )(b )(c )中,所有的门电路都为TTL 门,设输入A 、B 、C 的波形如图(d )所示,试分析电路的逻辑关系,写出表达式,并定量画出各输出的波形图。

B

A =1

V CC

L 1

≥1

A 2

L B

C &

≥1

EN

&△

B C

1

G L 3

A &

A

B

C

(a)

(b)(c)

(d)

8、(8分)、分析下图所示电路,求输入S 1、S 0各种取值下的输出Y ,填入下表中。

9、分析下图的逻辑功能。(10分)

10、(9分)为了实现下列图中输出所表示的逻辑,判断下列图连接是否正确。要求说明正确和错误的原因。若有错,请改正。

11-1、求下列各题的最简与或表达式。(12分)

1. 2、

11-2、试对应输入波形画出下图中 Y

1~Y

6

的波形。(本题共6小题,共24分)

12、试对应输入波形画出下图中Y1~Y5的波形。(设触发器初态为零)(本题共5个图,每图4分,共20分)

Y

13、分析图示电路的逻辑功能。要求写出逻辑式,列出真值表,然后说明逻辑功能。 ( 8分)

Y1

Y2

14-1、某编码器的真值表如下,试分析其工作情况: ( 6 分)

(1) 是?/?线编码器?

(2) 编码信号高电平还是低电平有效?

(3) 编码信号K0 ~ K7间有何约束条件?

(4) 当K5 信号请求编码时,Y2 Y1 Y0 = ?

(设未列出的输入组合不能出现)

Y2 Y1 Y0

14-2、求下图中Y 的最简与或式。 ( 8 分)

15-1、16-1、17-1(15分)写出下图电路中Y1、Y2的逻辑函数式,并化简为最简单的与-或表达式。译码器74LS138的输出函数表达式为0120A A A Y =、0121A A A Y =、…、0127A A A Y =。

15-2、17-2(15分)分析下图电路的功能,写出E 和F 的表达式,说明该电路实现什么样的逻辑功能。并根据下面给定的输入波形画出输出波形。

0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y 0A 1A 2

A 1S 2S 3

S &

&

1

Y 2

Y A B C 1

74LS138

A B E F

F

E

A B

16、写出下图所示逻辑图的逻辑式,并用与非门作出其最简形式的逻辑图。(11分)

18、分析如图由3线-8线译码器74LS138构成的电路。 (1)写出输出S i 和C i 的逻辑函数表达式; (2)画出真值表;

(3)说明该电路的逻辑功能。(15分)

74LS138的逻辑功能表

19、如图所示电路中,G1、G0为控制

端;A 、B 为输入端。分析该电路的逻辑功能,写出G1、G0 4种取值下函数F 的表达式。 (13分)

输 入

输 出

STA C B ST ST A 2 A 1 A 0 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7Y 0

× × × × 1 1 1 1 1 1 1 1 × 1 × × × 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1

1 1 1

1 1 1 1 1 1 1 0

A 1 A 0 A 2

74LS138

Y1 Y0 Y2 Y4 Y3 Y5 Y6 Y7

C i-1 B i A i S i C i

& &

1

STA STC STB

20、(15分)

分析图(4)所示电路:其中S3,S2,S1,S0作为控制信号,A ,B 作为数据输入。 (1)写出输出Y 的逻辑表达式;

(2)列表说明在S3,S2,S1,S0的对应状态分别为1011,1100,1110,1111的情况下Y 与A ,B 的关系。 二、设计题:

1、请用3-8线译码器译码器和少量门器件实现逻

()()∑=7630,,,,,m A B C F (11

分)

2(5分)、试用8选1数据选择器74151

实现下列逻辑函数:

∑=

=)7,5,4,1,0(),,(C B A F L

3(15分)、某工厂有A 、B 、C 三个车间,各需电力10KW ,由厂变电所的X ,Y 两台变压器供电。其中X 变压器的功率为13KVA (千伏安),Y 变压器的功率为25KVA 。为合理供电,需设计一个送电控制电路。控制电路的输出接继电器线圈。送电时线圈通电。不送电时线圈不通电。线圈动作电压12V ,线圈电阻300欧。要求画出送电控制逻辑关系真值表,写出并化简逻辑关系表达式,用门电路画出送电控制逻辑图。

4、(12分)、某实验室用两个灯显示三台设备的故障情况,当一台设备有故障时黄灯亮;当两台设备同

A

B

.

.

.

.

图(4)

时有故障时红灯亮;当三台设备同时有故障时黄、红两灯都亮。设计该逻辑电路。 5、(12分)、试用4选1数据选择器分别实现下列逻辑函数:

(1)==),(1B A F L ∑m (0,1,3) (2)==),,(2C B A F L ∑m (0,1,5,7) (3)BC AB L +=3 (4))(4C B A C B A L ++=

6、

7、(10分)、三变量奇校验电路的功能为:当输入奇数个“1”时,输出为1,否则输出为0。试列出其

真值表,写出简化逻辑式,并用异或门实现之。

8、(14分)74LS138(3/8译码器)一般符号如下图,用74LS138实现一位全加器。设:A i ---加数;B i ---被加数;C i-1---低位的进位; S i---本位和;C i ---进位。

9、(10分)、试用8选1数据选择器74151和门电路设计一个四位二进制码奇偶校验器。要求当输入的四位二进制码中有奇数个1时,输出为1,否则为0。

10、试用与非门设计一个组合逻辑电路,它接收一位8421BCD 码B 3、B 2、B 1、B 0,仅当2<B 3B 2B 1B 0<7时,

输出Y 才为1。

11、三变量奇校验电路的功能为:当输入奇数个“1”时,输出为1,否则输出为0。试列出其真值表,写出简化逻辑式,并用异或门实现之。(11分)

12-1、某工厂有一台容量为35KW 的自备电源,为A 、B 、C 三台用电设备供电,设A 、B 、C 设备的额

定功率分别为10KW 、20KW 、30KW ,它们投入运行是随机的组合。试分别用下面两种方案设计一个电源过载报警电路。 ( 15分) (1) 用最简于非门电路实现之。 (2) 用数据选择器 CT74LS151 实现之。

D 1 D 2 D 4 D 5 D 0 D 6 D 7

D 3 A 0

A 1 A 2 CT74LS151

ST

12-2、已知逻辑函数BC C A AB Y ++=,用真值表和卡诺图表示之,并用或非门 实现之。(10分)

13、试用8选1数据选择器实现 函数Y = A ⊕B ⊕C 。 (12分)

14-1、试采用两种方案设计一个三位多数表决电路 ( 无弃权 ) 。

(1) 用最少与非门;(2) 用数据选择器。 ( 14 分) 14-2、已知 CT74LS00 的引脚图如下,试在图中作适当连接,以实现函数B C A Y +=。(10 分)

15、(15分)某仓库的一把电子锁,三把钥匙分别由主任、出纳和保管员保存。开锁时,主任必须到场。出纳、保管员至少有一个人到场。试画出开锁的逻辑电路图,并要求用与非门实现。设出纳、保管员为A 、B ,主任为C ;到场为1,不到场为0;开锁为1,不开锁为0。

16、设计一个四舍五入的判别电路,其输入为8421BCD 码。要求当输入大于或等于5时,输出为1,反之为0 。试用与门、或门实现。(15分)

17、同15题

18、设计一个A 、B 、C 三人表决电路,以表决某一提案Y 是否通过,如多数赞成,则提案通过,同时 A 有否决权。

① 根据题设,设计一个组合逻辑电路,要求列出真值表,写出函数Y 的标准与-或式并化简; ② 请用最少的反相器和与非门实现该逻辑电路,画出逻辑图。 (15分)

数字逻辑与数字系统设计第2-3章客观题

()1、数字电路又称为开关电路、逻辑电路。 答案:正确 ()2、二极管、三极管、场效应管是常用的开关元件。 答案:正确 ()3、最基本的逻辑关系是:与、或、非。 答案:正确 ()4、高电平用0表示,低电平用1表示,称为正逻辑。 答案:错误 ()5、TTL型门电路比CMS型门电路开关速度快。 答案:正确 ()6、逻辑表达式是逻辑函数常用的表示方法。 答案:正确 ()7、用真值表表示逻辑函数,缺乏直观性。 答案:错误 ()8、逻辑图是最接近实际的电路图。 答案:正确 ()9、由真值表得到的逻辑函数一般都要经过化简。 答案:正确 ()10、组合电路的特点是:任意时刻的输出与电路的原状态有关。答案:错误 ()11、1+A=1 答案:正确 ()12、AB+A=A

()13、将实际问题转换成逻辑问题第一步是要先写出逻辑函数表达式。 答案:错误 14、异或函数与同或函数在逻辑上互为反函数。(对) 每个最小项都是各变量相“与”构成的,即n个变量的最小项含有n个因子。(对) 15、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。(错) 16、逻辑函数F=A B+A B+B C+B C已是最简与或表达式。(错) 17、利用约束项化简时,将全部约束项都画入卡诺图,可得到函数的最简形式。(错) 18、卡诺图中为1的方格均表示逻辑函数的一个最小项。(对) 19、在逻辑运算中,“与”逻辑的符号级别最高。(错) 20、标准与或式和最简与或式的概念相同。(对) 21、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(对) 22、格雷码具有任何相邻码只有一位码元不同的特性。(对) 23、所有的集成逻辑门,其输入端子均为两个或两个以上。(错) 24、根据逻辑功能可知,异或门的反是同或门。(对) 25、逻辑门电路是数字逻辑电路中的最基本单元。(对) 26、TTL和CMOS两种集成电路与非门,其闲置输入端都可以悬空处理。(错) 27、74LS系列产品是TTL集成电路的主流,应用最为广泛。(对) 28、TTL与非门的多余输入端可以接固定高电平。(对) 二、选择题 1、指出下列各式中哪个是四变量A、B、C、D的最小项() A、ABC; B、A+B+C+D; C、ABCD; D、A+B+D

数字逻辑复习题

一、填空题: 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 15、数字逻辑中的有与, 或, 非基本逻辑运算。 16、逻辑函数有四种表示方法,它们分别是真值表、逻辑图、逻辑表达式和卡诺图。 二、判断正误题 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对) 3、每个最小项都是各变量相“与”构成的,即n个变量的最小项含有n个因子。(对) 4、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。(错) 5、逻辑函数F=A B+A B+B C+B C已是最简与或表达式。(错) 6、利用约束项化简时,将全部约束项都画入卡诺图,可得到函数的最简形式。(错) 7、卡诺图中为1的方格均表示逻辑函数的一个最小项。(对)

数字逻辑第三章

第三章门电路 1 : 下列哪个逻辑门可以双向传输数据 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:C 知识点:传输门可以双向传输数据 ---------------------------------------------------------------------------- 2 : 下列哪个逻辑门可以输出并联使用 A:卤门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:D 知识点:OC门输出并联使用形成“线与”关系 ---------------------------------------------------------------------------- 3 : 下列哪个逻辑门有三种状态 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:B 知识点:三态门有高电平、低电平、高阻态三种状态 ---------------------------------------------------------------------------- 4 : 下列哪个逻辑门可以实现吸收大负载电流功能 A:卤门 B:三态门 C:传输门 D:OD门 您选择的答案: 正确答案:D 知识点:OD门输出并联使用形成“线与”关系,可以实现吸收大负载电流功能---------------------------------------------------------------------------- 5 : VIH表示什么含义 A:输出低电平

B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:B 知识点:I即input,表示输入;H即high,表示高电平 ---------------------------------------------------------------------------- 6 : VIL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:D 知识点:I即input,表示输入;L即low,表示低电平 ---------------------------------------------------------------------------- 7 : VOH表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:C 知识点:O即output,表示输出;H即high,表示高电平 ---------------------------------------------------------------------------- 8 : VOL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 9 : TTL反向器输入悬空时输出是什么状态 A:低电平 B:高电平 C:高阻态 D:不确定

数字逻辑与数字电路复习题

数字逻辑复习题*红色表示知识点说明文字 01数制码制和逻辑代数533 多选题34 1.下列BCD码中有权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\AC 2.下列BCD码中无权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\BD 3.下列二进制数中是奇数的有( )。 A.00101001111110101 B.00010000110111010 C.10111011111101 D.1000000011110101 \\ACD 4.下列8421BCD码中是偶数的有( )。 A.010********* B.10000110111010 C.011101111110 D.001000111101 \\BC 5.下列十六进制数中是奇数的有( )。 A.37F B.2B8 C.34E D.FF7 \\AD 6.下列十六进制数中是偶数的有( )。 A.37F B.2B8 C.34D D.F3E \\BD 7.比十进制数0.1D大的数是( )。 A.二进制数0.1B B.8421BCD码0.0001 C.八进制数0.1Q D.十六进制数0.1H \\AC 8.比十进制数10D小的数是( )。 A.十六进制数10H B.二进制数10B C.8421BCD码00010000 D.八进制数10Q \\BD 9.5211BCD码的特点是( )。 A.具有逻辑相邻性B.具有奇偶校验特性 C.是一种有权码D.按二进制数进行计数时自动解决了进位问题\\CD 10.余3BCD码的特点是( )。 A.当作二进制码看比等值的8421BCD码多3 B.是一种有权码C.按二进制进行加法时自动解决了进位问题D.具有逻辑相邻性\\AC

数字逻辑电路复习题

数字逻辑电路复习题 1、数制与编码 (-21)10 =( )10补 (78.8)16=( )10 (0.375)10=( )2 (65634.21)8=( )16 (121.02)16=( )4 (49)10 =( )2=( )16 (-1011)2 =( )反码=( )补码 四位二进制数1111的典型二进制格林码为( ) 2、化简逻辑函数F (A 、B 、C 、D )=∏M (0、2、5、7、8、10、1 3、15)。 3、说明同步时序逻辑电路的分析步骤。 4、说明什么是组合逻辑电路。 5、说明什么是Moore 型时序逻辑电路。 6、完成下列代码之间的转换: (1)(0101 1011 1101 0111.0111)8421BCD =( )10; (2)(359.25)10=( )余3; (3)(1010001110010101)余3=( )8421BCD 。 7、试写出下列二进制数的典型Gray 码: 101010,10111011。 8、用逻辑代数公理和定理证明: ①C B A ⊕⊕=A ⊙B ⊙C ②)B A (⊕⊙B A AB = ③C AB C B A C B A ABC A ++=? ④C A C B B A C A C B B A ++=++ ⑤1B A B A B A AB =+++ 9、将下列函数转化成为最小项表达式和最大项表达式 ①F (A 、B 、C 、D )=)D C )(C B A )(B A )(C B A (++++++ ②F (A 、B 、C )=C A C B A BC A C AB +++ ③F (A 、B 、C 、D )=)B AC )(C B (D D BC ++++ ④F (A 、B 、C 、D )=ABCD D C B A D B A B C +++ 10、利用卡诺图化简逻辑函数F (A 、B 、C 、D )=4 m (10,11,12,13,14,15)∑ 11、将下列函数简化,并用“与非”门和“或非”门实现该电路并判断有无竞争冒险现象,并

数字逻辑第二三章

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= 3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 裁判判决电路,A 为主裁判,在A 同意的前提下,只要有一位副裁判(B ,C )同意,成绩就有效。 F2= 真值表如下: 当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++C B BC A C AB C B A +++ABC C B A ABC C B A C B A +⊕=++)(AC BC AB C A C B B A ++=++1514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑电路与系统设计习题答案

第1章习题及解答 将下列二进制数转换为等值的十进制数。 (1)(11011)2 (2)()2 (3)(1101101)2 (4)()2 (5)()2(6)()2 (7)()2(8)()2 题解: (1)(11011)2 =(27)10 (2)()2 =(151)10(3)(1101101)2 =(109)10 (4)()2 =(255)10(5)()2 =()10(6)()2 =()10 (7)()2=()10(8)()2 =()10 将下列二进制数转换为等值的十六进制数和八进制数。 (1)(1010111)2 (2)(1)2 (3)()2 (4)()2 题解:

(1)(1010111)2 =(57)16 =(127)8 (2)(0)2 =(19A)16 =(632)8 (3)()2 =()16 =()8 (4)()2 =(2C.61)16 =()8 将下列十进制数表示为8421BCD码。 (1)(43)10 (2)()10 (3)()10 (4)()10 题解: (1)(43)10 =(01000011)8421BCD (2)()10 =(.00010010)8421BCD (3)()10 =()8421BCD (4)()10 =(.0001)8421BCD 将下列有符号的十进制数表示成补码形式的有符号二进制数。(1) +13 (2)?9 (3)+3 (4)?8 题解:

(1) +13 =(01101)2(2)?9 =(10111)2(3) +3 =(00011)2(4)?8 =(11000)2用真值表证明下列各式相等。 (1)B A+ = + B + B B A A (2)()()() = ⊕ A⊕ C AC AB B (3)()C B A+ = + B C A (4)C AB+ + A = A A B C 题解: (1)证明B A+ = + + B A B B A

数字逻辑电路--第三章作业

单选题 1(5分)、 逻辑函数y=A⊙B,当A=0,B=1时,y= 。 ?A、 ?B、 1 ?C、 不确定 ?D、 2 参考答案: A 2(5分)、 四变量逻辑函数Y(ABCD)的最小项m8为( ) ?A、 ABCD' ?B、 A'BCD' ?C、 AB'C'D' ?D、 ABCD 参考答案: C 3(5分)、 “或非”门中的某一输入值为“0”,那么它的输出值是 ?A、 为“0” ?B、 要取决于其它输入端的值 ?C、 为“1” ?D、 取决于正逻辑还是负逻辑 参考答案: B 4(5分)、 十六进制数FF对应的十进制数是 ?A、

253 ?B、 254 ?C、 255 ?D、 256 参考答案: C 5(5分)、 格雷码的特点是相邻两个码组之间有位码元不同。 ?A、 4 ?B、 3 ?C、 2 ?D、 1 参考答案: D 6(5分)、 与十进制数12.5等值的二进制数为: ?A、 1100.10 ?B、 1011.11 ?C、 1100.11 ?D、 1100.01 参考答案: A 7(5分)、 逻辑函数L=AB+CD的真值表中,L=1的状态有多少个? ?A、 2 ?B、 4 ?C、 6

?D、 7 参考答案: D 8(5分)、 -3的四位原码为: ?A、 1111 ?B、 1010 ?C、 1011 ?D、 1101 参考答案: C 9(5分)、 下列各种门电路中,电路输出端可以并联在一起 形成“线与”。 ?A、 具有推拉式输出级的TTL门电路; ?B、 TTL电路的OC门; ?C、 TTL电路的三态输出门; ?D、 CMOS门电路; 参考答案: B 10(5分)、 二进制数111011.101转换为十进制数为: ?A、 58.625 ?B、 57.625 ?C、 59.625 ?D、 60.125 参考答案: C

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字逻辑设计习题册

数字逻辑设计习题册 哈尔滨工业大学(威海)计算机学院体系结构教研室

第2章 逻辑代数基础 一、填空 1.摩根定理表示为:=?B A _____;=+B A ______。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y ________。 3.根据反演规则,若C D C B A Y +++=,则=Y ________。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()∑m ,写成最大项之积的形式结果为 )( ∏M 。 二、 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 三、 用代数法化简下列各式 1.B A BC A F +=1 2.D C A ABD CD B A F ++=2 3.CD D AC ABC C A F +++=3 4.)()(4C B A C B A C B A F ++?++?++= 5.C DE C BE CD B B A AC F ++++=5

6.C B A AD C B A CD AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 四、用卡诺图化简下列各式 1.C B A AB C B F ++=1 2.C B BC B A F ++=2 3.C B C B C A C A F +++=3 4.D C A C B A D C D C A ABD ABC F +++++=4 5.D B A AC C B A F ++=5 6.C B A AD C B A D C AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 8.D B D B C A C A F +++=8 9.D C B A D AC D C B D C A F +++⊕=)(9 10.∑ =m C B A P )7,6,5,2,1,0(),,(1 11.∑ = m D C B A P )14,11,10,9,8,7,6,4,3,2,1,0(),,,(2

数电复习题

第一章 一:填空题 1:在数字电路和计算机中,只用 0 和1两种符号表示信息。 2:数字电路只有 与门 、 或门 和 非门 三种基本电路。 3:十进制数26.625对应的二进制数为 11010.101 ;十六进制数5FE 对应的二进制数为 10111111110B 。 4: (100101010011.00110111)8421BCD 表示的十进制数为 953.37 。 5:描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 ,用与、或、非等运算表示函数中各个变量之间描述逻辑关系的代数式叫 逻辑函数式 。 6:任意两个最小项之积恒为 0 ;全体最小项之和恒为 1 。 7:逻辑函数 ,其反函数 其对偶式 。 8:函数 的最简与或式为 。 9:8421BCD (0010 0111)+5421BCD (10010000.1000)=(1010111.1)2. 10:有函数()F AC BC B A C =++⊕,其最简与或表达式为( ). 11:F(A,B,C,D)=∑m(0,4,6,8,13)+∑d(1,2,3,,9,10,11), 其最简与或表达式 12:842110010111.0101BCD ()=(01100001.1)2。 13:有函数F AB AC BC ACD =+++,其最简与或表达式为F=( ). 14:F(A,B,C,D)=∑m(0,1,8,10)+∑d(2,3,4,5,11), 其最简与或表达式为F=( ). 15:将下列二进制数转换为十进制数(0.1001)2 = 0.65 16、将下列十进制数转换为二进制数(49)10 = (00110001)2 17:将下列二进制数转换为十进制数(11011)2 = 27 18:将下列十进制数转换为二进制数(52.625)10 = (00110100.101)2 19:若CD B A F +=,则='F ,=F 20:若D C B AD C B A F )(+++=,则其最简与或表达式 21:若BC A C B A F +++=,则='F ,=F 22:若))((B D A C B D D D B F ++++=,则其最简与或表达式 二:单项选择题 1:表示一个两位十进制数至少需要( C )位二进制数。 A : 5 B :6 C :7 D :8 2:十进制数28.43的余3BCD 码是( B )。 A:00111000.01000011 B:01011011.01110110 C:01101100.10000111 D:01111101.10011000 3: 函数D D C C B C A AB F ++++=的最简与或式为( A )。 A :1 B :0 C :AB D :AB+D 4:函数AC BC AB F ++=与C A C B B A P ++=( B )。 A :相等 B :互为反函数 C :互为对偶式 D :都不对 () ()F A B C D =++F AB AC CD =++F B ACD AB =++A BC +AB BD +F AB CD =+F AB AC CD ADE =+++()()F A B C D =++F AC BC =+()()A B C D ++()()A B C D ++F ABC D =+()A B C A B C +?+()() A B C A B C +?+F D BC =+

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011)2 (2)(10010111)2 (3)(1101101)2 (4)(11111111)2 (5)(0.1001)2(6)(0.0111)2 (7)(11.001)2(8)(101011.11001)2 题1.1 解: (1)(11011)2 =(27)10 (2)(10010111)2 =(151)10 (3)(1101101)2 =(109)10 (4)(11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10(6)(0.0111)2 =(0.4375)10(7)(11.001)2=(3.125)10(8)(101011.11001) 2 =(43.78125)10 1.3 将下列二进制数转换为等值的十六进制数和八进制数。 (1)(1010111)2 (2)(110111011)2 (3)(10110.011010)2 (4)(101100.110011)2 题1.3 解: (1)(1010111)2 =(57)16 =(127)8 (2)(110011010)2 =(19A)16 =(632)8 (3)(10110.111010)2 =(16.E8)16 =(26.72)8 (4)(101100.01100001)2 =(2C.61)16 =(54.302)8 1.5 将下列十进制数表示为8421BCD码。 (1)(43)10 (2)(95.12)10 (3)(67.58)10 (4)(932.1)10 题1.5 解: (1)(43)10 =(01000011)8421BCD (2)(95.12)10 =(10010101.00010010)8421BCD (3)(67.58)10 =(01100111.01011000)8421BCD (4)(932.1)10 =(0.0001)8421BCD 1.7 将下列有符号的十进制数表示成补码形式的有符号二进制数。

数字逻辑课后答案 第三章

第三章 时序逻辑 1.写出触发器的次态方程,并根据已给波形画出输出 Q 的波形。 解: 2. 说明由RS 触发器组成的防抖动电路的工作原理,画出对应输入输出波形 解: 3. 已知JK 信号如图,请画出负边沿JK 触发器的输出波形(设触发器的初态为0) 4. 写出下图所示个触发器次态方程,指出CP 脉冲到来时,触发器置“1”的条件。 解:(1) ,若使触发器置“1”,则A 、B 取值相异。 (2),若使触发器置“1”,则A 、B 、C 、D 取值为奇数个1。 5.写出各触发器的次态方程,并按所给的CP 信号,画出各触发器的输出波形(设初态为0) 解: 6. 7. 1 )(1 =+++=+c b a Q a c b Q n n B A B A D +=D C B A K J ⊕⊕⊕==Q A Q B Q D Q C Q E Q F Q G Q H

2 8. 作出状态转移表和状态图,确定其输出序列。 解:求得状态方程如下 故输出序列为:00011 9. 用D 触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器 解:先列出真值表,然后求得激励方程 PS NS 输出 N 0 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 1 0 0 0 0 0 1 化简得: 逻辑电路图如下: 10. 用D 触发器设计3位二进制加法计数器,并画出波形图。 n Q 2n Q 1n Q 012+n Q 11+n Q 10+n Q

3 11. 用下图所示的电路结构构成五路脉冲分配器,试分别用简与非门电路及74LS138集成译码器构成这个译码器,并画出连线图。 解:先写出激励方程,然后求得状态方程 得真值表 得状态图 若用与非门实现,译码器输出端的逻辑函数为: 若用译码器74LS138实现,译码器输出端的逻辑函数为: 12 若将下图接成12进制加法器,预置值应为多少?画出状态图及输出波形图。 解:预置值应C=0,B =1,A =1。 13. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy 型电路还是Moore 型电路以及电路的功能。 解: 电路的状态方程和输出方程为: 该电路是Moore 型电路。 当X=0时,电路为模4加法计数器; 当X=1时,电路为模4减法计数器 14. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种 序列进行检测? 解:电路的状态方程和输出方程为:由此可见,凡输入序列 “110”,输出就为“1” 。 15. 作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1 ;并规定检测的101序列不重叠。 解: 根据题意分析,输入为二进制序列x ,输出为Z ;且电路应具有3个状态: S0、S1、S2。列状态图和状态表如下: X =1 X =0 NS / Z PS

数字逻辑期末复习资料

第一章 数制与编码 1、二、八、十、十六进制数的构成特点及相互转换;二转BCD :二B 到十D 到BCD ,二B 到十六H ,二B 到八O 2、有符号数的编码;代码的最高位为符号位,1为负,0为正 3、各种进制如何用BCD 码表示; 4、有权码和无权码有哪些?BCD 码的分类:有权码:8421,5421,2421 无权码:余3码,BCD Gray 码 例: 1、〔1100110〕B =〔0001 0000 0010〕8421BCD =〔102〕D =〔 66 〕H =〔146〕O 〔178〕10=〔10110010〕2=〔0001 0111 1000 〕8421BCD =〔B2 〕16=〔 262〕8 2、将数1101.11B 转换为十六进制数为〔 A 〕 A. D.C H B. 15.3H C. 12.E H D. 21.3H 3、在以下一组数中,最大数是〔 A 〕。 A.(258)D 1 0000 0010 B.(100000001 )B 257 C.(103)H 0001 0000 0011259 D.(001001010111 )8421BCD 257 4、假设用8位字长来表示,〔-62〕D =( 1011 1110)原 5、属于无权码的是〔B 〕 A.8421 码 B.余3 码 和 BCD Gray 的码 C.2421 码 D.自然二进制码 6、BCD 码是一种人为选定的0~9十个数字的代码,可以有许多种。〔√〕 第二章 逻辑代数根底 1、根本逻辑运算和复合逻辑运算的运算规律、逻辑符号; F=AB 与 逻辑乘 F=A+B 或 逻辑加 F=A 非 逻辑反 2、逻辑代数的根本定律及三个规则; 3、逻辑函数表达式、逻辑图、真值表及相互转换; 4、最小项、最大项的性质; 5、公式法化简;卡诺图法化简〔有约束的和无约束的〕。 例: 1、一个班级中有四个班委委员,如果要开班委会,必须这四个班委委员全部同意才能召开,其逻辑关系属于〔 A 〕逻辑关系。 A 、与 B 、或 C 、非 2、数字电路中使用的数制是〔 A 〕。 A .二进制 B .八进制 C .十进制 D .十六进制 3、和逻辑式AB 表示不同逻辑关系的逻辑式是〔 B 〕。 A .B A + B .B A ⋅ C .B B A +⋅ D .A B A +

数字电子技术练习题第3章习题及答案

第3章习题 一、填空题 1.逻辑电路中,电平接近0时称为电平,电平接近V CC或V DD时称为电平。 2.数字电路中最基本的逻辑门有、和门。常用的复合逻辑门有门、门、门、门和门。 3.图腾结构的TTL集成电路中,多发射极三极管可完成逻辑功能。 4.CMOS反相器是两个型的MOS管组成,且其中一个是管,另外一个是 管,由于两管特性对称,所以称为互补对称CMOS反相器。 5.TTL与非门输出高电平U OH的典型值是V,低电平U OL的典型值是V。 6.普通的TTL与非门具有结构,输出只有和两种状态;TTL三态与非门除了具有态和态,还有第三种状态态,三态门可以实现结构。 7.集电极开路的TTL与非门又称为门,几个门的输出可以并接在一起,实现功能。 8.TTL集成电路和CMOS集成电路相比较,其中集成电路的带负载能力较强,而集成电路的抗干扰能力较强。 9.用三态门构成总线连接时,依靠端的控制作用,可以实现总线的共享而不至于引起。 10. TTL集成与门多余的输入端可;TTL集成或门多余的输入端可。 二、判断下列说法的正误 1.所有的集成逻辑门,其输入端子均为两个或两个以上。() 2.根据逻辑功能可知,异或门的反是同或门。() 3.具有图腾结构的TTL与非门可以实现“线与”逻辑功能。() 4.基本逻辑门电路是数字逻辑电路中的基本单元。() 5.TTL和CMOS两种集成电路与非门,其闲置输入端都可以悬空处理。() 6.74LS系列产品是TTL集成电路的主流产品,应用最广泛。() 7.74LS系列集成电路属于TTL型,CC4000系列集成电路属于CMOS型。() 8.与门多余的输出端可与有用端并联或接低电平。() 9.OC门不仅能够实现“总线”结构,还可构成与或非逻辑。() 10.一个四输入与非门,使其输出为0的输入变量取值组合有7个。() 三、单项选择题 1.具有“有1出0、全0出1”功能的逻辑门是()。 A、与非门 B、或非门 C、异或门 D、同或门

数字逻辑电路第3章复习题

一、分析题: 1、试分析如下面图(a )和图(B)所示逻辑电路,说明分别是什么逻辑功能。(10分) . 2、(10分)、试分析下图所示逻辑电路。 2 B L =1 1 A C & L & =1 & 3-1、(12分)、分析下图电路的逻辑功能。要求写出逻辑函数表达式,画出真值表,说明电路的逻辑功能。 3-2(8分)、由译码器74138和8选1数据选择器74151组成如下图所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数,试分析下图电路的逻辑功能。(74138是3-8线译码器,74151是8选1数据选择器)。 A 1 & B 1 & & L ≥1 ≥1 ≥1 ≥1 L A B (a) (b)

5Y 6 D 074138D 13 401 A Y 7G 0Y 13 D A 5 2D Y D A 6G D 1Y Y Y D 27 420 1Y 2B D 74151G 2A 1A A 0 2A Y 1X 0 2X X 10 Z Z Z 2 4、(6分)由译码器74138和门电路组成的电路如下图所示,试写出L 1、L 2的最简表达式。 5、 6、(12分)、下图所示的TTL 门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?说明正确或错误的理由。如有错误请改正。 CD AB L ⋅=1 AB L =2 C AB L +=3 +V & R P C D &L CC B A 1 B & A =1 V CC L 2 &L 3 C B A ≥1 (a ) (b) (c) 7、(12分)、在下图(a )(b )(c )中,所有的门电路都为TTL 门,设输入A 、B 、C 的波形如图(d )所示,试分析电路的逻辑关系,写出表达式,并定量画出各输出的波形图。

数字逻辑电路复习资料

一、选择填空题 1、下列四个数中与十六进制数(63)16相等的是( B) A. (100)10 B. (01100011)2 C. (01100011)8421BCD D. (100100011)8 2、十进制数118对应的2进制数为( D) A. (1010110)2 B. (1111000)2 C. (1110111)2 D. (1110110)2 3、下列等式不成立的是( C ) A. A+A B=A+B B. A+AB=A C. AB+A C+BC=AB+BC D. A B+B A+AB+A B=1 4、以下说法中,______是正确的。( A) A. 一个逻辑函数全部最小项之和恒等于1 B. 一个逻辑函数全部最小项之和恒等于0 C. 一个逻辑函数全部最小项之积恒等于1 D. 一个逻辑函数中任意两个不同的最小项之积恒等于1 5、下列电路中,不属于组合逻辑电路的是( C) A.编码器 B.全加器 C.寄存器 D.译码器 6、由或非门构成的同步RS触发器,输入S、R的约束条件是( D) A.SR=0 B.SR=1 C.S+R=0 D.S+R=1 7、T触发器,在T=1时,加上时钟脉冲,则触发器( D) A.保持原态 B.置0 C.置1 D.翻转 8、F=A(A+B)+B(B+C+D)=( A) A.B B.A+B C.1 D.C 9、一个8选一数据选择器的数据输入端有_______个。( C) A.1 B.2 C.8 D.4 10、同步时序电路和异步时序电路比较,其差异在于后者( B ) A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 11、欲使D触发器按Q n+1= Q工作,应使输入D=( D ) n A.0 B.1 C.Q D.Q 12、[10101]2转换为十进制数是(C) A.11 B.15 C.21 D.25

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、、) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√)

数字逻辑与数字电路复习题

数字逻辑复习题 *红色表示知识点说明文字01数制码制和逻辑代数533 多选题34 1.下列BCD码中有权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\AC 2.下列BCD码中无权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\BD 3.下列二进制数中是奇数的有( )。 A.0001 B.0000 C.1 D.101 \\ACD 4.下列8421BCD码中是偶数的有( )。 A.0 B.0 C.0 D.0001 \\BC 5.下列十六进制数中是奇数的有( )。 A.37F B.2B8 C.34E D.FF7 \\AD 6.下列十六进制数中是偶数的有( )。 A.37F B.2B8 C.34D D.F3E

\\BD 7.比十进制数大的数是( )。 A.二进制数 B.8421BCD码 C.八进制数 D.十六进制数 \\AC 8.比十进制数10D小的数是( )。 A.十六进制数10H B.二进制数10B C.8421BCD码00010000 D.八进制数10Q \\BD 9.5211BCD码的特点是( )。 A.具有逻辑相邻性 B.具有奇偶校验特性 C.是一种有权码 D.按二进制数进行计数时自动解决了进位问题\\CD 10.余3BCD码的特点是( )。 A.当作二进制码看比等值的8421BCD码多3 B.是一种有权码 C.按二进制进行加法时自动解决了进位问题 D.具有逻辑相邻性\\AC 11.格雷(循环)码的特点是( )。 A.逻辑相邻 B.折叠性 C.是一种有权码 D.反射性 \\ABD 12.下列二进制数中能被4整除的有( )。 A.000 B.0000 C. D.101 \\AC 13.下列十六进制数中能被4整除的有( )。 A.37C B.2B8

相关文档
相关文档 最新文档